Unipolar Device (epo) Patents (Class 257/E29.226)

  • Publication number: 20080277731
    Abstract: One embodiment of the present invention relates to a method for transistor matching. In this method, a channel is formed within a first transistor by applying a gate-source bias having a first polarity to the first transistor. The magnitude of a potential barrier in a pocket implant region of the first transistor is reduced by applying a body-source bias having the first polarity to the first transistor. Current flow is facilitated across the channel by applying a drain-source bias having the first polarity to the first transistor. Other methods and circuits are also disclosed.
    Type: Application
    Filed: May 10, 2007
    Publication date: November 13, 2008
    Inventors: Henry Litzmann Edwards, Tathagata Chatterjee, Mohamed Kamel Mahmoud, Xiaoju Wu
  • Publication number: 20080277691
    Abstract: A method for fabricating a microelectronic device including a support, an etched stack of thin layers including at least one first block and at least one second block resting on the support, in which at least one drain region and at least one source region, respectively, are capable of being formed, plural semiconductor bars connecting a first zone of the first block and another zone of the second block, and able to form a multi-branch transistor channel, or plural transistor channels. A gate surrounds the bars and is located between the first block and the second block, the gate being in contact with a first and second insulating spacer in contact with at least one sidewall of the first block and with at least one sidewall of the second block, respectively, and at least partially separated from the first block and the second block, via the insulating spacers.
    Type: Application
    Filed: December 28, 2006
    Publication date: November 13, 2008
    Applicant: Commissariat A L'Energie Atomique
    Inventors: Thomas Ernst, Christian Isheden
  • Publication number: 20080277730
    Abstract: There is presented a method of forming a semiconductor device. The method comprises forming gate structures including forming gate electrodes over a semiconductor substrate and forming spacers adjacent the gate electrodes. Source/drains are formed adjacent the gate structures, and a laminated stress layer is formed over the gate structure and the semiconductor substrate. The formation of the laminated stress layer includes cycling a deposition process to form a first stress layer over the gate structures and the semiconductor substrate and at least a second stress layer over the first stress layer. After the laminated layer is formed, it is subjected to an anneal process conducted at a temperature of about 900° C. or greater.
    Type: Application
    Filed: May 7, 2007
    Publication date: November 13, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: Manoj Mehrotra, Antonio L.P Rotondaro, Puneet Kohli
  • Publication number: 20080272422
    Abstract: A transistor includes a channel region with a first portion and a second portion. A length of the first portion is smaller than a length of the second portion. The first portion has a higher threshold voltage than the second portion. The lower threshold voltage of the second portion allows for an increased ON current. Despite the increase attained in the ON current, the higher threshold voltage of the first portion maintains or lowers a relatively low OFF current for the transistor.
    Type: Application
    Filed: May 3, 2007
    Publication date: November 6, 2008
    Applicant: DSM Solutions, Inc.
    Inventor: Sung-Ki Min
  • Publication number: 20080272410
    Abstract: A metal-oxide-semiconductor field-effect transistor (MOSFET) having self-aligned spacer contacts is provided. In accordance with embodiments of the present invention, a transistor, having a gate electrode and source/drain regions formed on opposing sides of the gate electrode, is covered with a first dielectric layer. A first contact opening is formed in the first dielectric layer to expose at least a portion of one of the source/drain regions. A second dielectric layer is formed over the first dielectric layer. Thereafter, an inter-layer dielectric layer is formed over the second dielectric layer and a second contact opening is formed through the inter-layer dielectric layer. In an embodiment, an etch-back process may be performed on the second dielectric layer prior to forming the inter-layer dielectric layer.
    Type: Application
    Filed: May 2, 2007
    Publication date: November 6, 2008
    Inventor: Chung-Te Lin
  • Publication number: 20080272457
    Abstract: A structure and a method for forming the same. The structure includes (a) a substrate which includes a top substrate surface which defines a reference direction perpendicular to the top substrate surface, (b) N semiconductor regions on the substrate, and (c) P semiconductor regions on the substrate, N and P being positive integers. The N semiconductor regions comprise dopants. The P semiconductor regions do not comprise dopants. The structure further includes M interconnect layers on top of the substrate, the N semiconductor regions, and the P semiconductor regions, M being a positive integer. The M interconnect layers include an inductor. (i) The N semiconductor regions do not overlap and (ii) the P semiconductor regions overlap the inductor in the reference direction. A plane perpendicular to the reference direction and intersecting a semiconductor region of the N semiconductor regions intersects a semiconductor region of the P semiconductor regions.
    Type: Application
    Filed: May 4, 2007
    Publication date: November 6, 2008
    Inventors: Brent Alan Anderson, Howard Smith Landis, Edward Joseph Nowak
  • Publication number: 20080265290
    Abstract: A multiple gate field-effect transistor is built from an overlapping mesh assembly. The assembly comprises a first layer comprising a semiconductor material formed into at least one fin, a least one source, and at least one drain. The first layer comprises a portion of a first mesh, electrically separated from the rest of the mesh. Similarly, a second layer is formed over the first layer and electrically isolated from the first layer, the second layer being electrically conductive and comprising a gate for the at least one fin of the transistor. The second layer comprises a portion of a second mesh offset from the first mesh and overlapping the first mesh, the second layer of the MuGFET device electrically separated from the rest of the second mesh.
    Type: Application
    Filed: April 24, 2007
    Publication date: October 30, 2008
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Alexander Nielsen, Bernhard Dobler, Georg Georgakos, Ralf Weber
  • Publication number: 20080266960
    Abstract: A non-volatile memory including a memory cell is described. The memory cell includes a first unit, a semiconductor layer, a second unit, and a doped region. The first unit includes a first gate, a first charge trapping layer, and a second charge trapping layer. The first and the second charge trapping layer are respectively disposed on both sides of the first gate. The semiconductor layer is disposed on the first unit. The second unit is disposed on the semiconductor layer and is in mirror symmetry to the first unit. The second unit includes a second gate and a third and a fourth charge trapping layer respectively disposed on both sides of the second gate. The doped region is disposed at both sides of the semiconductor layer and serves as a common source/drain region of both the first and the second unit.
    Type: Application
    Filed: April 30, 2007
    Publication date: October 30, 2008
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Ming-Chang Kuo
  • Publication number: 20080265285
    Abstract: A microelectronic programmable structure and methods of forming and programming the structure are disclosed. The programmable structure generally include an ion conductor and a plurality of electrodes. Electrical properties of the structure may be altered by applying a bias across the electrodes, and thus information may be stored using the structure.
    Type: Application
    Filed: July 1, 2008
    Publication date: October 30, 2008
    Applicant: AXON TECHNOLOGIES CORPORATION
    Inventor: Michael N. Kozicki
  • Publication number: 20080265286
    Abstract: A memory cell includes an ONO film composed of a stacked film of a silicon nitride film SIN which is a charge trapping portion and oxide films BOTOX and TOPOX positioned under and over the silicon nitride film, a memory gate electrode MG over the ONO film, a source region MS, and a drain region MD, and program or erase is performed by hot carrier injection in the memory cell. In the memory cell, a total concentration of N—H bonds and Si—H bonds contained in the silicon nitride film SIN is made to be 5×1020 cm?3 or less.
    Type: Application
    Filed: April 24, 2008
    Publication date: October 30, 2008
    Inventors: Tetsuya ISHIMARU, Yasuhiro Shimamoto, Toshiyuki Mine, Yasunobu Aoki, Koichi Toba, Kan Yasui
  • Publication number: 20080268589
    Abstract: The disclosure provides a method of manufacturing a semiconductor device. The method comprises forming a shallow trench isolation structure, including performing a wet etch process to remove a patterned pad oxide layer located on a semiconductor substrate. The wet etch thereby produces a divot on upper lateral edges of a insulator-filled trench in the semiconductor substrate. Forming the shallow trench isolation structure also includes forming a nitride post on a vertical wall of the divot. Forming the nitride post includes depositing a nitride layer on the insulator, and dry etching the nitride layer. The dry etch is selective towards the nitride located adjacent the vertical wall such that a portion of the nitride layer remains on the vertical wall subsequent to the dry etching.
    Type: Application
    Filed: April 30, 2007
    Publication date: October 30, 2008
    Applicant: Texas Instruments Incorporated
    Inventors: David Gerald Farber, Toan Tran, Craig Henry Huffman, Brian K. Kirkpatrick
  • Publication number: 20080258215
    Abstract: An LDMOS transistor comprises source, channel and extended drain regions. The extended drain region comprises a plurality of islands that have a conductivity type that is opposite to the extended drain region. The islands have a depth less than a depth of the extended drain region.
    Type: Application
    Filed: April 23, 2007
    Publication date: October 23, 2008
    Inventors: Olof Tornblad, Gordon Ma
  • Publication number: 20080258233
    Abstract: A semiconductor device, such as a PMOS transistor, having localized stressors is provided. Recesses are formed on opposing sides of gate electrodes such that the recesses are offset from the gate electrode by dummy spacers. The recesses are filled with a stress-inducing layer. The dummy recesses are removed and lightly-doped drains are formed. Thereafter, new spacers are formed and the stress-inducing layer is recessed. One or more additional implants may be performed to complete source/drain regions. In an embodiment, the PMOS transistor may be formed on the same substrate as one or more NMOS transistors. Dual etch stop layers may also be formed over the PMOS and/or the NMOS transistors.
    Type: Application
    Filed: April 23, 2007
    Publication date: October 23, 2008
    Inventors: Ru-Shang Hsiao, Min Cao, Chung-Te Lin, Ta-Ming Kuan, Cheng-Tung Hsu
  • Publication number: 20080251863
    Abstract: A high-voltage RF power device includes a plurality of serially connected transistors. Each transistor includes a gate finger disposed on a substrate, a gate dielectric layer, a drain structure disposed on one side of the gate finger, and an N+ source region on the other side of the gate finger. The drain structure includes an N+ doping region encompassed by a shallow trench isolation (STI) structure, and an N well directly underneath the STI structure and the N+ doping region.
    Type: Application
    Filed: April 14, 2007
    Publication date: October 16, 2008
    Inventors: Sheng-Yi Huang, Cheng-Chou Hung, Yu-Chia Chen, Chin-Lan Tseng, Chih-Yuh Tzeng, Victor-Chiang Liang, Chun-Yi Lin
  • Publication number: 20080251856
    Abstract: Methods of forming silicided contacts self-aligned to a gate from polysilicon germanium and a structure so formed are disclosed. One embodiment of the method includes: forming a polysilicon germanium (poly SiGe) pedestal over a gate dielectric over a substrate; forming a poly SiGe layer over the poly SiGe pedestal, the poly SiGe layer having a thickness greater than the poly SiGe pedestal; doping the poly SiGe layer; simultaneously forming a gate and a contact to each side of the gate from the poly SiGe layer, the gate positioned over the poly SiGe pedestal; annealing to drive the dopant from the gate and the contacts into the substrate to form a source/drain region below the contacts; filling a space between the gate and the contacts; and forming silicide in the gate and the contacts.
    Type: Application
    Filed: April 13, 2007
    Publication date: October 16, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Huilong Zhu, Wenjuan Zhu, Zhijiong Luo
  • Publication number: 20080246083
    Abstract: A high-voltage metal-oxide-semiconductor (HVMOS) device having increased breakdown voltage and methods for forming the same are provided. The HVMOS device includes a semiconductor substrate; a gate dielectric on a surface of the semiconductor substrate; a gate electrode on the gate dielectric; a source/drain region adjacent and horizontally spaced apart from the gate electrode; and a recess in the semiconductor substrate and filled with a dielectric material. The recess is between the gate electrode and the source/drain region, and is horizontally spaced apart from the gate electrode.
    Type: Application
    Filed: April 3, 2007
    Publication date: October 9, 2008
    Inventors: William Wei-Yuan Tien, Fu-Hsin Chen
  • Publication number: 20080237734
    Abstract: A complementary metal-oxide-semiconductor (CMOS) transistor comprising a substrate, a first conductive type MOS transistor, a second conductive type MOS transistor, a buffer layer, a first stress layer and a second stress layer is provided. The substrate has a device isolation structure therein that defines a first active area and a second active area. The first conductive type MOS transistor and the second conductive type MOS transistor are respectively disposed in the first active area and the second active area of the substrate. A first nitride spacer of the first conductive type MOS transistor has a thickness greater than that of a second nitride spacer of the second conductive type MOS transistor. The buffer layer is disposed on the first conductive type MOS transistor. The first stress layer is disposed on the buffer layer. The second stress layer is disposed on the second conductive type MOS transistor.
    Type: Application
    Filed: March 29, 2007
    Publication date: October 2, 2008
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Wen-Han Hung, Cheng-Tung Huang, Kun-Hsien Lee, Shyh-Fann Ting, Li-Shian Jeng, Meng-Yi Wu, Chung-Min Shih, Tzyy-Ming Cheng, Chia-Wen Liang
  • Publication number: 20080237644
    Abstract: A design method for an integrated circuit adds spare cells in a System-on-Chip to allow for Engineering Change Orders (ECOs) to be performed at a later stage in the design. This method can be used to provide a second version of the chip having minimal alterations performed in a short cycle time. The spare cells can be divided into combinational and sequential cells. There is an optimum spread of combinational cells in the design for post placement repairs of the chip with just metal layer changes. The method takes into account the drive strength of the spare cells as the main factor in their placement on the chip.
    Type: Application
    Filed: March 28, 2008
    Publication date: October 2, 2008
    Applicant: STMICROELECTRONICS, INC.
    Inventor: Anshuman Tripathi
  • Publication number: 20080237661
    Abstract: The present invention discloses a method including: providing a substrate; forming recessed regions adjacent to both sides of a gate on the substrate; performing an angled co-implant of a species in two steps with two different energies and two different doses into the recessed regions; forming Silicon-Germanium in the recessed regions; forming source/drain extensions adjacent to both sides of the gate with a dopant; and performing an anneal to activate the dopant.
    Type: Application
    Filed: March 30, 2007
    Publication date: October 2, 2008
    Inventors: Pushkar Ranade, Keith Zawadzki, Leif Paulson
  • Publication number: 20080237567
    Abstract: A microelectronic programmable structure suitable for storing information and array including the structure and methods of forming and programming the structure are disclosed. The programmable structure generally includes an ion conductor and a plurality of electrodes. Electrical properties of the structure may be altered by applying energy to the structure, and thus information may be stored using the structure.
    Type: Application
    Filed: June 10, 2008
    Publication date: October 2, 2008
    Applicant: AXON TECHNOLOGIES CORPORATION
    Inventor: Michael N. Kozicki
  • Publication number: 20080237737
    Abstract: A semiconductor structure is provided which includes a first semiconductor device in a first active semiconductor region and a second semiconductor device in a second active semiconductor region. A first dielectric liner overlies the first semiconductor device and a second dielectric liner overlies the second semiconductor device, with the second dielectric liner overlapping the first dielectric liner at an overlap region. The second dielectric liner has a first portion having a first thickness contacting an apex of the second gate conductor and a second portion extending from peripheral edges of the second gate conductor which has a second thickness substantially greater than the first thickness. A first conductive via contacts at least one of the first or second gate conductors and the conductive via extends through the first and second dielectric liners at the overlap region. A second conductive via may contact at least one of a source region or a drain region of the second semiconductor device.
    Type: Application
    Filed: March 29, 2007
    Publication date: October 2, 2008
    Applicants: INTERNATIONAL BUSINESS MACHINES CORPORATION, SAMSUNG ELECTRONINCS CO., LTD.
    Inventors: Xiangdong Chen, Jun Jung Kim, Young Gun Ko, Jae-Eun Park, Haining S. Yang
  • Publication number: 20080230855
    Abstract: A semiconductor structure with reduced inter-diffusion is provided. The semiconductor structure includes a semiconductor substrate; a first well region in the semiconductor substrate; a second well region in the semiconductor substrate; an insulating region between and adjoining the first and the second well regions; a gate dielectric layer on the first and the second well regions; and a gate electrode strip on the gate dielectric and extending from over the first well region to over the second well region. The gate electrode strip includes a first portion over the first well region, a second portion over the second well region, and a third portion over the insulating region. A thickness of the third portion is substantially less than the thicknesses of the first and the second portions.
    Type: Application
    Filed: March 19, 2007
    Publication date: September 25, 2008
    Inventor: Jhon-Jhy Liaw
  • Publication number: 20080224211
    Abstract: A Schottky diode is integrated into a planar or trench topology MOSFET having parallel spaced source regions diffused into spaced base stripes. The diffusions forming the source and base stripes are interrupted to permit the drift region to extend to the top of the die and receive a Schottky barrier metal and the source contact. The MOSFET and Schottky share the same drift region, and the pitch between base and source stripes is not changed to receive the Schottky structure.
    Type: Application
    Filed: March 12, 2007
    Publication date: September 18, 2008
    Inventors: Donald He, Daniel M. Kinzer
  • Publication number: 20080224238
    Abstract: An advanced method of patterning a gate stack including a high-k gate dielectric that is capped with a high-k gate dielectric capping layer such as, for example, a rare earth metal (or rare earth like)-containing layer is provided. In particular, the present invention provides a method in which a combination of wet and dry etching is used in patterning such gate stacks which substantially reduces the amount of remnant high-k gate dielectric capping material remaining on the surface of a semiconductor substrate to a value that is less than 1010 atoms/cm2, preferably less than about 109 atoms/cm2.
    Type: Application
    Filed: March 13, 2007
    Publication date: September 18, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Siva Kanakasabapathy, Ying Zhang, Edmund M. Sikorski, Hongwen Yan, Vijay Narayanan, Vamsi K. Paruchuri, Bruce B. Doris
  • Publication number: 20080217655
    Abstract: An integrated circuit with buried control line structures. In one embodiment, the control lines are subdivided into sections, wherein regions free of switching transistors are provided at intervals along the control lines. Connections for feeding the control potentials into the sections of the control lines are provided at least in a subset of the regions free of switching transistors. The isolations lines are connected to one another by an interconnect running transversely with respect to the control lines.
    Type: Application
    Filed: February 8, 2008
    Publication date: September 11, 2008
    Applicant: QIMONDA AG
    Inventors: Dirk Baumann, Dominique Savignac, Till Schloesser, Helmut Schneider
  • Publication number: 20080217700
    Abstract: NFET and PFET devices with separately stressed channel regions, and methods of their fabrication is disclosed. A FET is disclosed which includes a gate, which gate includes a metal in a first state of stress. The FET also includes a channel region hosted in a single crystal Si based material, which channel region is overlaid by the gate and is in a second state of stress. The second state of stress of the channel region is of an opposite sign than the first state of stress of the metal included in the gate. The NFET channel is usually in a tensile state of stress, while the PFET channel is usually in a compressive state of stress. The methods of fabrication include the deposition of metal layers by physical vapor deposition (PVD), in such manner that the layers are in stressed states.
    Type: Application
    Filed: March 11, 2007
    Publication date: September 11, 2008
    Inventors: Bruce B. Doris, Cyril Cabral, Elizabeth A. Duch, Stephen M. Rossnagel, Michelle L. Steen
  • Publication number: 20080211027
    Abstract: An electrostatic discharge (ESD) structure connected to a bonding pad in an integrated circuit comprising: a P-type substrate with one or more first P+ regions connected to a low voltage supply (GND), a first Nwell formed in the P-type substrate, one or more second P+ regions disposed inside the first Nwell and connected to the bonding pad, at least one first N+ region disposed outside the first Nwell but in the P-type substrate and connected to the GND, at least one second N+ region disposed outside the first Nwell but in the P-type substrate and connected to the bonding pad, wherein the second N+ region is farther away from the first Nwell than the first N+ region, and at least one conductive material disposed above the P-type substrate between the first and second N+ regions and coupled to the GND, wherein the first N+ region, the second N+ region and the conductive material form the source, drain and gate of an NMOS transistor, respectively, and the first P+ region is farther away from the first Nwell tha
    Type: Application
    Filed: March 1, 2007
    Publication date: September 4, 2008
    Inventors: Shu Huei Lin, Jian-Hsing Lee, Shao-Chang Huang, Cheng Hsu Wu, Chuan Ying Lee
  • Publication number: 20080203398
    Abstract: A self-aligned, silicon carbide power metal oxide semiconductor field effect transistor includes a trench formed in a first layer, with a base region and then a source region epitaxially regrown within the trench. A window is formed through the source region and into the base region within a middle area of the trench. A source contact is formed within the window in contact with a base and source regions. The gate oxide layer is formed on the source and base regions at a peripheral area of the trench and on a surface of the first layer. A gate electrode is formed on the gate oxide layer above the base region at the peripheral area of the trench, and a drain electrode is formed over a second surface of the first layer.
    Type: Application
    Filed: February 28, 2007
    Publication date: August 28, 2008
    Inventors: Christopher Harris, Kent Bertilsson, Andrei Konstantinov
  • Publication number: 20080203447
    Abstract: A gate electrode structure is provided, which includes, from bottom to top, an optional, yet preferred metallic layer, a Ge rich-containing layer and a Si rich-containing layer. The sidewalls of the Ge rich-containing layer include a surface passivation layer. The inventive gate electrode structure serves as a low-temperature electrically activated gate electrode of a MOSFET in which the materials thereof as well as the method of fabricating the same are compatible with existing MOSFET fabrication techniques. The inventive gate electrode structure is electrically activated at low processing temperatures (on the order of less than 750° C.). Additionally, the inventive gate electrode structure also minimizes gate-depletion effects, does not contaminate a standard MOS fabrication facility and has sufficiently low reactivity of the exposed surfaces that renders such a gate electrode structure compatible with conventional MOSFET processing steps.
    Type: Application
    Filed: February 23, 2007
    Publication date: August 28, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: John C. Arnold, Stephen W. Bedell, Keith E. Fogel, Devendra K. Sadana
  • Publication number: 20080203485
    Abstract: A gate structure for complementary metal oxide semiconductor (CMOS) devices includes a first gate stack having a first gate dielectric layer formed over a substrate, and a first metal layer formed over the first gate dielectric layer. A second gate stack includes a second gate dielectric layer formed over the substrate and a second metal layer formed over the second gate dielectric layer. The first metal layer is formed in manner so as to impart a tensile stress on the substrate, and the second metal layer is formed in a manner so as to impart a compressive stress on the substrate.
    Type: Application
    Filed: February 28, 2007
    Publication date: August 28, 2008
    Applicant: International Business Machines Corporation
    Inventors: Michael P. Chudzik, Wei He, Renee T. Mo, Naim Moumen, Vijay Narayanan, Dae-gyu Park, Vamsi Paruchuri
  • Publication number: 20080200024
    Abstract: A method for fabricating a semiconductor device includes forming an interlayer insulating film over a semiconductor substrate. The interlayer insulating film is selectively etched to form a hole defining a storage node region. A lower electrode is formed in the hole. A support layer is formed over the lower electrode. The support layer fills an upper part of the hole and exposes the interlayer insulating film. A dip-out process is performed to remove the interlayer insulating film. The supporting layer is removed to expose the lower electrode. A dielectric film is formed over the semiconductor substrate including the lower electrode. A plate electrode is formed over the semiconductor substrate to fill the dielectric film and the lower electrode.
    Type: Application
    Filed: June 29, 2007
    Publication date: August 21, 2008
    Inventor: Keun Kyu Kong
  • Publication number: 20080191261
    Abstract: Example embodiments may provide nonvolatile memory devices and example methods of fabricating nonvolatile memory devices. Example embodiment nonvolatile memory devices may include a switching device on a substrate and/or a storage node electrically connected to the switching device. A storage node may include a lower metal layer electrically connected to the switching device, a first insulating layer, a middle metal layer, a second insulating layer, an upper metal layer, a carbon nanotube layer, and/or a passivation layer stacked on the lower metal layer.
    Type: Application
    Filed: October 31, 2007
    Publication date: August 14, 2008
    Inventors: Chang-wook Moon, Joong S. Jeon, El Mostafa Bourim, Hyun-deok Yang
  • Publication number: 20080179637
    Abstract: A unit cell of a metal-semiconductor field-effect transistor (MESFET) includes a semi-insulating substrate having a surface, an implanted n-type channel region in the substrate, and implanted source and drain regions extending from the surface of the substrate into the implanted channel region. A gate contact is between the source and the drain regions, and an implanted p-type region is beneath the source region. The implanted p-type region has an end that extends towards the drain region, is spaced apart vertically from the implanted channel layer, and is electrically coupled to the source region. Methods of forming transistors including implanted channels and implanted p-type regions beneath the source region are also disclosed.
    Type: Application
    Filed: January 31, 2007
    Publication date: July 31, 2008
    Inventors: Jason P. Henning, Allan Ward, Alexander Suvorov
  • Publication number: 20080179685
    Abstract: In some aspects, a memory circuit is provided that includes (1) a two-terminal memory element formed on a substrate; and (2) a CMOS transistor formed on the substrate and adapted to program the two-terminal memory element. The two-terminal memory element is formed between a gate layer and a first metal layer of the memory circuit. Numerous other aspects are provided.
    Type: Application
    Filed: January 31, 2007
    Publication date: July 31, 2008
    Inventor: Christopher J. Petti
  • Publication number: 20080179667
    Abstract: A semiconductor structure including at least one transistor located on a surface of a semiconductor substrate, wherein the at least one transistor has a sub-lithographic channel length, is provided. Also provided is a method to form such a semiconductor structure using self-assembling block copolymer that can be placed at a specific location using a pre-fabricated hard mask pattern.
    Type: Application
    Filed: April 8, 2008
    Publication date: July 31, 2008
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Haining S. Yang, Wai-Kin Li
  • Publication number: 20080180994
    Abstract: A semiconductor memory device has a semiconductor substrate, first select transistors formed on the surface of said semiconductor substrate, first dummy transistors formed above said first select transistors, a plurality of memory cell transistors formed above said first dummy transistors so as to extend in a direction perpendicular to the surface of said semiconductor substrate, each of said memory cell transistor including an insulating layer having a charge-accumulating function, second dummy transistors formed above said memory cell transistors, and second select transistors formed above said second dummy transistors; wherein a first potential is provided to the gate electrodes of said first select transistors and the gate electrodes of said first dummy transistors and a second potential is provided to the gate electrodes of said second select transistors and the gate electrodes of said second dummy transistors at the time of write operation to write data to said memory cell transistors.
    Type: Application
    Filed: December 13, 2007
    Publication date: July 31, 2008
    Applicant: KABUSHIKI KAISHA TOSHIBIA
    Inventors: Ryota Katsumata, Masaru Kidoh, Hiroyasu Tanaka, Masaru Kito, Hideaki Aochi, Yoshiaki Fukuzumi, Yasuyuki Matsuoka
  • Publication number: 20080157229
    Abstract: A semiconductor device and a fabricating method thereof are provided. The method includes forming a Tetraethyl Orthosilicate (TEOS) layer on a semiconductor substrate, and performing a heat treatment on the TEOS layer to shrink the LEOS layer, thereby forming a gate oxide layer of a shrunken TEOS layer.
    Type: Application
    Filed: September 24, 2007
    Publication date: July 3, 2008
    Inventor: JONG WON SUN
  • Publication number: 20080150019
    Abstract: A field effect transistor that can be operated as a low voltage Class FN radio frequency (RF) amplifier with harmonic tuning is provided. The field effect transistor includes a common electrode, a gate, and multiple separate electrodes. The common electrode can comprise a source or drain, while the separate electrodes can comprise drains or sources, respectively. The gate can be profiled in a manner that forms multiple gate sections, each having a unique gate length within the gate sections. Each separate electrode can correspond to one of the plurality of gate sections. When operated as a Class FN RF amplifier with a linear harmonic input, the output signal will comprise a non-linear square wave with sharp fronts and relatively flat peak states.
    Type: Application
    Filed: May 9, 2007
    Publication date: June 26, 2008
    Inventors: Alexei Koudymov, Michael Shur
  • Publication number: 20080149997
    Abstract: Provided are a nonvolatile memory device and a method of operating the same, which have increased operation reliability and which facilitate increased integration. The nonvolatile memory device may include a semiconductor substrate, and at least one charge storage layer may be provided on a semiconductor substrate. At least one control gate electrode may be provided on the at least one charge storage layer. At least one first auxiliary gate electrode may be disposed on one side of and apart from the at least one charge storage layer and isolated from the semiconductor substrate.
    Type: Application
    Filed: August 28, 2007
    Publication date: June 26, 2008
    Inventors: Young-gu Jin, Ki-ha Hong
  • Publication number: 20080135934
    Abstract: A laterally diffused metal oxide semiconductor transistor. The laterally diffused metal oxide semiconductor transistor includes a substrate, a drain formed thereon, a source formed on the substrate, comprising a plurality of individual sub-sources respectively corresponding to various sides of the drain, a plurality of channels formed in the substrate between the sub-sources and the drain, a gate overlying a portion of the sub-sources and the channels, and a drift layer formed in the substrate underneath the drain.
    Type: Application
    Filed: April 6, 2007
    Publication date: June 12, 2008
    Inventor: Y.S. Liu
  • Publication number: 20080137407
    Abstract: A method for operating memory used for enabling the memory device to have a first threshold voltage or a second threshold voltage is provided. The method includes the following procedures. First, an operating voltage is applied to a gate of the memory device for a first time period, such that the memory device has the first threshold voltage. Next, the same operating voltage is applied to the gate of the memory for a second time period, such that the memory device has a second threshold voltage. The duration of the first time period is different from the duration of the second time period.
    Type: Application
    Filed: December 12, 2006
    Publication date: June 12, 2008
    Inventors: Chao-I Wu, Ming-Hsiang Hsueh
  • Publication number: 20080135901
    Abstract: A semiconductor memory, comprising: a first memory cell transistor disposed on a semiconductor substrate; a second memory cell transistor disposed on the semiconductor substrate and having a first source-drain region in common with the first memory cell transistor; a first ferroelectric capacitor disposed with a via in between above a second source-drain region of the first memory cell transistor; a second ferroelectric capacitor disposed with a via in between above a second source-drain region of the second memory cell transistor; an interlayer dielectric disposed on the semiconductor substrate, as coating the memory cell transistors and the ferroelectric capacitors, the interlayer dielectric having a contact hole through which the first source-drain region is partially exposed at the bottom and upper electrodes of the first and second ferroelectric capacitors are partially exposed at the top; and a wiring layer filled into the contact hole, which connects the first source-drain region, the upper electrode o
    Type: Application
    Filed: November 14, 2007
    Publication date: June 12, 2008
    Inventors: Yoshiro SHIMOJO, Susumu Shuto, Iwao Kunishima, Tohru Ozaki
  • Publication number: 20080128774
    Abstract: Silicon trench isolation (STI) is formed between adjacent diffusions in a semiconductor device, such as between bitlines in a memory array. The STI may be self-aligned to the diffusions, and may prevent misaligned bitline (BL) contacts from contacting silicon outside of the corresponding bitlines. The bitline contacts may have sufficient overlap of the bitlines to ensure full coverage by the bitlines. Bitline oxides formed over buried bitlines may be used to self-align trenches of the STI to the bitlines. The STI trenches may be lined with a CMOS spacer, salicide blocking layer and/or a contact etch stop layer. STI may be formed after Poly-2 etch or after word line salicidation. The memory cells may be NVM devices such as NROM, SONOS, SANOS, MANOS, TANOS or Floating Gate (FG) devices.
    Type: Application
    Filed: November 1, 2007
    Publication date: June 5, 2008
    Inventors: Rustom IRANI, Amichai GIVANT
  • Publication number: 20080128775
    Abstract: Disclosed are a semiconductor device and a method of manufacturing the same. The semiconductor device includes a semiconductor substrate having source and drain areas; a floating gate between the source and drain areas having a programmed or erased state, thereby controlling a current flow between the source and drain areas; and a tunneling gate adapted to program or erase the floating gate depending on voltage(s) applied to the source, drain and/or tunneling gate.
    Type: Application
    Filed: October 30, 2007
    Publication date: June 5, 2008
    Inventor: Sung Kun Park
  • Publication number: 20080124854
    Abstract: A method for fabricating a semiconductor device includes forming a gate insulation layer over a substrate, forming a conductive compound containing layer over the gate insulation layer, etching the conductive compound containing layer and the gate insulation layer to form a gate structure, forming a metal layer over the resultant structure obtained after the etching, and letting the metal layer to react with silicon from the substrate to form source and drain regions comprising a metal silicide layer over the substrate exposed on both sides of the gate structure, wherein the conductive compound containing layer does not react with the metal layer.
    Type: Application
    Filed: May 7, 2007
    Publication date: May 29, 2008
    Inventors: Chel-Jong CHOI, Moon-Gyu JANG, Yark-Yeon KIM, Tae-Youb KIM, Myung-Sim JUN, Seong-Jae LEE
  • Publication number: 20080116494
    Abstract: The invention relates to a method for manufacturing a semiconductor device. A silicon substrate comprising at least one structured area in which a dopant is implanted is provided. A contact modifying material is provided on the surface of the at least one structured area. A silicide layer is formed on the surface of the at least one structured area, the silicide layer comprising at least one of titan silicide, titan nitride silicide and cobalt silicide.
    Type: Application
    Filed: November 20, 2006
    Publication date: May 22, 2008
    Inventors: Matthias Goldbach, Dietmar Henke, Sven Schmidbauer
  • Publication number: 20080116499
    Abstract: A gated diode nonvolatile memory cell with a charge storage structure includes a diode structure with an additional gate terminal. Various embodiments may include or exclude a diffusion barrier structure between the diode nodes. Example embodiments include the individual memory cell, an array of such memory cells, methods of operating the memory cell or array of memory cells, and methods of manufacturing the same.
    Type: Application
    Filed: January 2, 2007
    Publication date: May 22, 2008
    Applicant: Macronix International Co., Ltd.
    Inventors: Tien Fan Ou, Wen Jer Tsai, Erh Kun Lai, Hsuan Ling Kao
  • Publication number: 20080099853
    Abstract: A thin film transistor including a substrate, a first buffer layer, a gate, a gate insulation layer, a channel layer, a source and a drain is provided. The first buffer layer is disposed on the substrate and the first buffer is a silicide. The gate covers a portion of the first buffer layer, and the gate includes a first aluminum metal layer and a first protective layer disposed thereon. The gate insulation layer covers the gate, and the channel layer is disposed on part of the gate insulation layer. The source and the drain are disposed on the channel layer and separated form each other. Each of the source and the drain includes a second buffer layer, a second aluminum metal layer and a second protective layer. The second aluminum metal layer is disposed on the second buffer layer and the second protective layer is disposed thereon.
    Type: Application
    Filed: April 14, 2007
    Publication date: May 1, 2008
    Applicant: CHUNGHWA PICTURE TUBES, LTD.
    Inventors: Chi-Jan Yang, Hsiy-Yu Chang, Yu-Chou Lee, Ying-Ming Wu
  • Publication number: 20080099815
    Abstract: A semiconductor device having a vertical transistor comprises a silicon substrate; a drain region, a channel region and a source region vertically stacked on the silicon substrate; a buried type bit line formed under the drain region in the silicon substrate to contact with the drain region and to extend in one direction; and gates respectively formed on both side walls of the stacked drain region, channel region and source region.
    Type: Application
    Filed: June 1, 2007
    Publication date: May 1, 2008
    Applicant: Hynix Semiconductor Inc.
    Inventor: Woo Kyung Sun
  • Publication number: 20080102576
    Abstract: A p-type collector region of an IGBT and an n-type cathode region of a free wheel diode are alternately formed in a second main surface of a semiconductor substrate. A back electrode is formed on the second main surface so as to be in contact with both of the p-type collector region and the n-type cathode region, and has a titanium layer, a nickel layer and a gold layer that are successively stacked from the side of the second main surface. A semiconductor device capable of obtaining a satisfactory ON voltage in any of conduction of an insulated gate field effect transistor and conduction of the free wheel diode as well as a manufacturing method thereof can thus be obtained.
    Type: Application
    Filed: March 12, 2007
    Publication date: May 1, 2008
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Kenji Suzuki, Hideki Takahashi, Yoshifumi Tomomatsu