Making Field Effect Device Having Pair Of Active Regions Separated By Gate Structure By Formation Or Alteration Of Semiconductive Active Regions Patents (Class 438/142)
  • Patent number: 7851279
    Abstract: The invention provides a semiconductor device and its manufacturing method in which a memory transistor and a plurality of thin film transistors that have gate insulating films with different thicknesses are fabricated over a substrate. The invention is characterized by the structural difference between the memory transistor and the plurality of thin film transistors. Specifically, the memory transistor and some of the plurality of thin film transistors are provided to have a bottom gate structure while the other thin film transistors are provided to have a top gate structure, which enables the reduction of characteristic defects of the transistor and simplification of its manufacturing process.
    Type: Grant
    Filed: June 24, 2008
    Date of Patent: December 14, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Tamae Takano, Tetsuya Kakehata, Shunpei Yamazaki
  • Patent number: 7846783
    Abstract: A process of fabricating an IC is disclosed in which a polysilicon resistor and a gate region of an MOS transistor are implanted concurrently. The concurrent implantation may be used to reduce steps in the fabrication sequence of the IC. The concurrent implantation may also be used to provide another species of transistor in the IC with enhanced performance. Narrow PMOS transistor gates may be implanted concurrently with p-type polysilicon resistors to increase on-state drive current. PMOS transistor gates over thick gate dielectrics may be implanted concurrently with p-type polysilicon resistors to reduce gate depletion. NMOS transistor gates may be implanted concurrently with n-type polysilicon resistors to reduce gate depletion, and may be implanted concurrently with p-type polysilicon resistors to provide high threshold NMOS transistors in the IC.
    Type: Grant
    Filed: November 5, 2008
    Date of Patent: December 7, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Manoj Mehrotra, Puneet Kohli
  • Publication number: 20100301419
    Abstract: Disclosed are embodiments of an improved integrated circuit device structure (e.g., a static random access memory array structure or other integrated circuit device structure incorporating both P-type and N-type devices) and a method of forming the structure that uses DTI regions for all inter-well and intra-well isolation and, thereby provides a low-cost isolation scheme that avoids FET width variations due to STI-DTI misalignment. Furthermore, because the DTI regions used for intra-well isolation effectively create some floating well sections, which must each be connected to a supply voltage (e.g., Vdd) to prevent threshold voltage (Vt) variations, the disclosed integrated circuit device also includes a shared contact to a junction between the diffusion regions of adjacent devices and an underlying floating well section. This shared contact eliminates the cost and area penalties that would be incurred if a discrete supply voltage contact was required for each floating well section.
    Type: Application
    Filed: May 28, 2009
    Publication date: December 2, 2010
    Applicant: International Business Machines Corporation
    Inventors: Brent A. Anderson, Andres Bryant, Edward J. Nowak
  • Patent number: 7824983
    Abstract: Methods of isolating gates in a semiconductor structure. In one embodiment, isolation is achieved using a spacer material in combination with fins having substantially vertical sidewalls. In another embodiment, etch characteristics of various materials utilized in fabrication of the semiconductor structure are used to increase an effective gate length (“Leffective”) and a field gate oxide. In yet another embodiment, a V-shaped trench is formed in the semiconductor structure to increase the Leffective and the field gate oxide. Semiconductor structures formed by these methods are also disclosed.
    Type: Grant
    Filed: June 2, 2008
    Date of Patent: November 2, 2010
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Publication number: 20100261319
    Abstract: A method for generating n-type carriers in a semiconductor is disclosed. The method includes supplying a semiconductor having an atomic radius. Implanting an n-type dopant species into the semiconductor, which n-type dopant species has a dopant atomic radius. Implanting a compensating species into the semiconductor, which compensating species has a compensating atomic radius. Selecting the n-type dopant species and the compensating species in such manner that the size of the semiconductor atomic radius is inbetween the dopant atomic radius and the compensating atomic radius. A further method is disclosed for generating n-type carriers in germanium (Ge). The method includes setting a target concentration for the carriers, implanting a dose of an n-type dopant species into the Ge, and selecting the dose to correspond to a fraction of the target carrier concentration. Thermal annealing the Ge in such manner as to activate the n-type dopant species and to repair a least a portion of the implantation damage.
    Type: Application
    Filed: April 8, 2009
    Publication date: October 14, 2010
    Applicant: International Business Machines Corporation
    Inventors: Jee Hwan Kim, Stephen W. Bedell, Siegfried Maurer, Devendra K. Sadana
  • Patent number: 7808082
    Abstract: The present invention provides structures and methods for providing facets with different crystallographic orientations than what a semiconductor substrate normally provides. By masking a portion of a semiconductor surface and exposing the rest to an anisotripic etch process that preferentially etches a set of crystallographic planes faster than others, new facets with different surface orientations than the substrate orientation are formed on the semiconductor substrate. Alternatively, selective epitaxy may be utilized to generate new facets. The facets thus formed are joined to form a lambda shaped profile in a cross-section. The electrical properties of the new facets, specifically, the enhanced carrier mobility, are utilized to enhance the performance of transistors. In a transistor with a channel on the facets that are joined to form a lambda shaped profile, the current flows in the direction of the ridge joining the facets avoiding any inflection in the direction of the current.
    Type: Grant
    Filed: November 14, 2006
    Date of Patent: October 5, 2010
    Assignee: International Business Machines Corporation
    Inventors: Haining Yang, Thomas W. Dyer, Keith Kwong Hon Wong, Chih-Chao Yang
  • Patent number: 7803718
    Abstract: A BiCMOS device with enhanced performance by mechanical uniaxial strain is provided. A first embodiment of the present invention includes an NMOS transistor, a PMOS transistor, and a bipolar transistor formed on different areas of the substrate. A first contact etch stop layer with tensile stress is formed over the NMOS transistor, and a second contact etch stop layer with compressive stress is formed over the PMOS transistor and the bipolar transistor, allowing for an enhancement of each device. Another embodiment has, in addition to the stressed contact etch stop layers, strained channel regions in the PMOS transistor and the NMOS transistor, and a strained base in the BJT.
    Type: Grant
    Filed: October 29, 2008
    Date of Patent: September 28, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hsin Ko, Tzu-Juei Wang, Hung-Wei Chen, Chung-Hu Ke, Wen-Chin Lee
  • Patent number: 7803668
    Abstract: Process for fabricating a transistor, in which an electron-sensitive resist layer lying between at least two semiconductor fingers is formed and said resist lying between at least two wires is converted into a dielectric. For example, in one embodiment of the present disclosure an integrated circuit includes a transistor having an insulating substrate including, for example, based on silicon oxide. Transistor also includes a conducting gate region comprising, for example, TiN or polysilicon, formed on a localized zone of the upper surface of the substrate, and an isolating region, comprising, for example, silicon oxide and surrounding the conducting region. The conducting region is also bounded in the direction normal to the plane of the drawing.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: September 28, 2010
    Assignee: STMicroelectronics (Crolles 2) SAS
    Inventors: Romain Wacquez, Philippe Coronel, Jessy Bustos
  • Patent number: 7795644
    Abstract: Semiconductor devices with selective stress memory effect and fabrication methods thereof. The semiconductor device comprises a semiconductor substrate with a first region and a second region. Both the first region and the second region have a first doped region and a second doped region separated by an insulation layer. A PMOS transistor is disposed on the first doped region layer. An NMOS transistor is disposed on the second doped region. A first capping layer is disposed covering the NMOS transistor over the first region. A second capping layer is disposed covering the PMOS transistor over the first region. The thickness of the first capping layer is different from the thickness of the second capping layer, thereby different stress is induced on the PMOS transistor and the NMOS transistor respectively. The PMOS transistor and the NMOS transistor over the second region are silicided.
    Type: Grant
    Filed: January 4, 2007
    Date of Patent: September 14, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Mei-Yun Wang, Cheng-Chen Hsueh, Wu-An Weng
  • Patent number: 7790549
    Abstract: This invention discloses a semiconductor power device disposed in a semiconductor substrate and the semiconductor substrate has a plurality of deep trenches. The deep trenches are filled with an epitaxial layer thus forming a top epitaxial layer covering areas above a top surface of the deep trenches covering over the semiconductor substrate. The semiconductor power device further includes a plurality of transistor cells disposed in the top epitaxial layer whereby a device performance of the semiconductor power device is dependent on a depth of the deep trenches and not dependent on a thickness of the top epitaxial layer. Each of the plurality of transistor cells includes a trench DMOS transistor cell having a trench gate opened through the top epitaxial layer and filled with a gate dielectric material.
    Type: Grant
    Filed: August 20, 2008
    Date of Patent: September 7, 2010
    Assignee: Alpha & Omega Semiconductor, Ltd
    Inventor: François Hébert
  • Patent number: 7781843
    Abstract: High-voltage CMOS devices and low-voltage CMOS devices are integrated on a common substrate by forming a sacrificial film over at least active device areas, lithographically defining device active regions of the high-voltage CMOS devices, implanting dopants selectively through the sacrificial film into the lithographically defined device active regions of the high-voltage CMOS devices, diffusing the implanted dopants, removing the sacrificial film, and subsequently forming low-voltage CMOS devices.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: August 24, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: James Weaver, S. Jonathan Wang, John Chen, Sadiq Bengali, Edward Enciso, Tom Cooney
  • Patent number: 7776661
    Abstract: A co-planar waveguide structure is integrated with an upwardly extending resonant pillar to produce transfer cells that provide controlled transmission of electricity between adjacent structures of the co-planar waveguide in order to produce easily fabricated electronic devices operating at megahertz and gigahertz speeds for filtration, modulation, rectification, and mixing of high-frequency signals.
    Type: Grant
    Filed: July 11, 2007
    Date of Patent: August 17, 2010
    Assignee: Wisconsin Alumni Research Foundation
    Inventors: Hua Qin, Hyun Seok Kim, Robert H. Blick
  • Patent number: 7776646
    Abstract: An organic field-effect transistor and a method of making the same include a self-assembled monolayer (SAM) of bifunctional molecules disposed between a pair of electrodes as a channel material. The pair of electrodes and the SAM of bifunctional molecules are formed above an insulating layer, in which each of the bifunctional molecules comprises a functionality at a first end that covalently bonds to the insulating layer, and an end-cap functionality at a second end that includes a conjugated bond. The SAM of bifunctional molecules may be polymerized SAM to form a conjugated polymer strand extending between the pair of electrodes.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: August 17, 2010
    Assignee: International Business Machines Corporation
    Inventors: Ali Afzali-Ardakani, Christos D. Dimitrakopoulos
  • Patent number: 7772059
    Abstract: A method of fabricating graphene transistors, comprising providing an SOI substrate, performing an optional threshold implant on the SOI substrate, forming an upper silicon layer mesa island, carbonizing the silicon layer into SiC utilizing a gaseous source, converting the SiC into graphene, forming source/drain regions on opposite longitudinal ends of the graphene, forming gate oxide between the source/drain regions on the graphene, forming gate material over the gate oxide, creating a transistor edge, depositing dielectric onto the transistor edge and performing back end processing.
    Type: Grant
    Filed: January 16, 2008
    Date of Patent: August 10, 2010
    Assignee: Texas Instruments Incorporated
    Inventors: Ashesh Parikh, Andrew Marshall
  • Patent number: 7772048
    Abstract: A semiconductor device is made by steps of removing portions of a first capping layer, removing portions of a sacrificial layer, recessing sidewalls, and forming fin structures. The step of removing portions of the first capping layer forms a first capping structure that covers portions of the sacrificial layer. The step of removing portions of the sacrificial layer removes portions of the sacrificial layer that are not covered by the first capping structure to define an intermediate structure. The step of recessing the sidewalls recesses sidewalls of the intermediate structure relative to edge regions of the first capping structure to form a sacrificial structure having recessed sidewalls. The step of forming fin structures forms fin structures adjacent to the recessed sidewalls.
    Type: Grant
    Filed: February 23, 2007
    Date of Patent: August 10, 2010
    Assignee: Freescale Semiconductor, Inc.
    Inventors: Robert E. Jones, Rickey S. Brownson
  • Patent number: 7767511
    Abstract: In one aspect, there is provided a method of manufacturing a semiconductor device. This method includes forming gate structures over a substrate, wherein the gate structures include gate electrodes located adjacent source/drain regions. A protective layer is formed over the gate structures and a CMP layer is formed over the protective layer. A portion of the CMP layer and the protective layer is removed to expose a portion of the gate electrodes with remaining portions of the CMP layer and the protective layer remaining over the source/drain regions. The exposed portions of the gate electrodes are doped with an n-type dopant or a p-type dopant, and the remaining portions of the CMP layer and the protective layer located over the source/drain regions are removed subsequent to the doping.
    Type: Grant
    Filed: June 21, 2007
    Date of Patent: August 3, 2010
    Assignee: Texas Instruments Incorporated
    Inventor: Mark R. Visokay
  • Patent number: 7767501
    Abstract: The abrupt metal-insulator transition device includes: an abrupt metal insulator transition material layer including an energy gap of less than or equal to 2 eV and holes within a hole level; and two electrodes contacting the abrupt metal-insulator transition material layer. Here, each of the two electrodes is formed by thermally treating a stack layer of a first layer formed on the abrupt metal-insulator transition material layer and comprising Ni or Cr, a second layer formed on the first layer and comprising In, a third layer formed on the second layer and comprising Mo or W, and a fourth layer formed on the third layer and comprising Au.
    Type: Grant
    Filed: December 5, 2005
    Date of Patent: August 3, 2010
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Doo-Hyeb Youn, Hyun-Tak Kim, Byung-Gyu Chae, Sung-Lyul Maeng, Kwang-Yong Kang
  • Patent number: 7767102
    Abstract: The present invention is directed to methods to harvest, integrate and exploit nanomaterials, and particularly elongated nanowire materials. The invention provides methods for harvesting nanowires that include selectively etching a sacrificial layer placed on a nanowire growth substrate to remove nanowires. The invention also provides methods for integrating nanowires into electronic devices that include placing an outer surface of a cylinder in contact with a fluid suspension of nanowires and rolling the nanowire coated cylinder to deposit nanowires onto a surface. Methods are also provided to deposit nanowires using an ink-jet printer or an aperture to align nanowires. Additional aspects of the invention provide methods for preventing gate shorts in nanowire based transistors. Additional methods for harvesting and integrating nanowires are provided.
    Type: Grant
    Filed: August 16, 2007
    Date of Patent: August 3, 2010
    Assignee: Nanosys, Inc.
    Inventors: Francesco Lemmi, David P. Stumbo
  • Patent number: 7767514
    Abstract: The invention includes methods of forming channel region implants for two transistor devices simultaneously, in which a mask is utilized to block a larger percentage of a channel region location of one of the devices relative to the other. The invention also pertains to methods of forming capacitor structures in which a first capacitor electrode is spaced from a semiconductor substrate by a dielectric material, a second capacitor electrode comprises a conductively-doped diffusion region within the semiconductor material, and a capacitor channel region location is beneath the dielectric material and adjacent the conductively-doped diffusion region. An implant mask is formed to cover only a first portion of the capacitor channel region location and to leave a second portion of the capacitor channel region location uncovered. While the implant mask is in place, dopant is implanted into the uncovered second portion of the capacitor channel region location.
    Type: Grant
    Filed: April 18, 2006
    Date of Patent: August 3, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Hongmei Wang, Kurt D. Beigel, Fred D. Fishburn, Rongsheng Yang
  • Patent number: 7759174
    Abstract: A semiconductor device includes a substrate including a semiconductor and a trench, and an electrically rewritable semiconductor memory cell on the substrate, the semiconductor memory cell comprising a charge storage layer including an upper surface and a lower surface, an area of the lower surface being smaller than an area of the upper surface, and at least a part of the charge storage layer being provided in the trench, first insulating layer between the lower surface of the charge storage layer and a bottom surface of the trench, second insulating layer between a side surface of the trench and a side surface of the charge storage layer and between the side surface of the trench and a side surface of the first insulating layer, third insulating layer on the charge storage layer, and a control gate electrode on the third insulating layer.
    Type: Grant
    Filed: January 24, 2007
    Date of Patent: July 20, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Katsuhiko Hieda
  • Publication number: 20100173478
    Abstract: Single-walled carbon nanotube transistor devices, and associated methods of making such devices include a porous structure for the single-walled carbon nanotubes. The porous structure may be anodized aluminum oxide or another material. Electrodes for source and drain of a transistor are provided at opposite ends of the single-walled carbon nanotube devices. A concentric gate surrounds at least a portion of a nanotube in a pore. A transistor of the invention may be especially suited for power transistor or power amplifier applications.
    Type: Application
    Filed: August 21, 2006
    Publication date: July 8, 2010
    Applicant: ATOMATE CORPORATION
    Inventor: Thomas W. Tombler
  • Publication number: 20100171156
    Abstract: In one embodiment of the invention, contact patterning may be divided into two or more passes which may allow designers to control the gate height critical dimension relatively independent from the contact top critical dimension.
    Type: Application
    Filed: March 15, 2010
    Publication date: July 8, 2010
    Inventors: Nadia Rahhal-Orabi, Charles H. Wallace, Alison Davis, Swaminathan Sivakumar
  • Patent number: 7750709
    Abstract: One embodiment of the present invention provides a system that biases a floating node within an integrated circuit. During operation, the system first identifies the floating node within the integrated circuit to be biased. The system then determines a desired bias voltage. Next, the system couples a low-power bias source to the floating node to supply the desired bias voltage, wherein the floating node is biased without stopping data transmission through the floating node during biasing.
    Type: Grant
    Filed: January 5, 2007
    Date of Patent: July 6, 2010
    Assignee: Oracle America, Inc.
    Inventors: Justin M. Schauer, Robert D. Hopkins
  • Patent number: 7750378
    Abstract: Provided are a field effect transistor and a method of fabricating the same, wherein the field effect transistor is formed which has a hyperfine channel length by employing a technique for forming a sidewall spacer and adjusting the deposition thickness of a thin film. In the field effect transistor of the present invention, a source junction and a drain junction are thin, and the overlap between the source and the gate and between the drain and the gate is prevented, thereby lowering parasitic resistance. Further, the gate electric field is easily introduced to the drain extending region, so that the carrier concentration is effectively controlled in the channel at the drain. Also, the drain extending region is formed to be thinner than the source, so that the short channel characteristic is excellent.
    Type: Grant
    Filed: April 10, 2006
    Date of Patent: July 6, 2010
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Won-ju Cho, Chang-geun Ahn, Ki-ju Im, Jong-heon Yang, In-bok Baek, Seong-jae Lee
  • Publication number: 20100167473
    Abstract: A semiconductor device and a fabrication method for the semiconductor device which can remove the sacrifice layer deposited on the semiconductor device surface in a short time and whose manufacturing yield can be improved are provided. The semiconductor device and the fabrication method for the semiconductor device includes a field effect transistor 4 including a gate electrode 1, a drain electrode 2, and a source electrode 3 formed on a semiconductor substrate; and a hollow protective film 5 for covering the gate electrode 1, the drain electrode 2, and the source electrode 3, and being provided on the semiconductor substrate 4A.
    Type: Application
    Filed: March 10, 2010
    Publication date: July 1, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Takuji YAMAMURA
  • Publication number: 20100167472
    Abstract: A method of forming a resist feature includes forming a resist layer over a semiconductor body, and selectively exposing the resist layer. The method further includes performing a first bake of the selectively exposed resist layer, and developing the selectively exposed resist layer to form a resist feature having a corner edge associated therewith, thereby exposing a portion of the semiconductor body. A second bake of the developed selectively exposed resist layer is then performed, thereby rounding the corner edge of the resist feature.
    Type: Application
    Filed: December 23, 2009
    Publication date: July 1, 2010
    Applicant: Texas Instruments Incorporated
    Inventors: Yiming Gu, Shaofeng Yu, James Blatchford
  • Publication number: 20100148170
    Abstract: A field-effect transistor provided with at least a semiconductor layer and a gate electrode disposed over the above-described semiconductor layer with a gate insulating film therebetween, wherein the above-described semiconductor layer includes a first amorphous oxide semiconductor layer having at least one element selected from the group of Zn and In, and a second amorphous oxide semiconductor layer having at least one element selected from the group of Ge and Si and at least one element selected from the group of Zn and In. The composition of the above-described first amorphous oxide semiconductor layer is different from the composition of the above-described second amorphous oxide semiconductor layer.
    Type: Application
    Filed: December 9, 2009
    Publication date: June 17, 2010
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Miki Ueda, Tatsuya Iwasaki, Naho Itagaki, Amita Goyal
  • Patent number: 7739636
    Abstract: Design structure embodied in a machine readable medium for designing, manufacturing, or testing a design. The design structure includes active circuitry on a substrate, a bond pad carried by the substrate, and a shielding structure disposed between the substrate and the bond pad. The shielding structure includes a plurality of electrically characterized devices configured to reduce noise transmission from the active circuitry to the bond pad.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: June 15, 2010
    Assignee: International Business Machines Corporation
    Inventors: David S. Collins, Mete Erturk, Edward J. Gordon, Robert Groves, Robert M. Rassel
  • Publication number: 20100140700
    Abstract: A semiconductor device and a method of manufacturing a semiconductor device. A semiconductor device may include a substrate and a laterally diffused metal oxide semiconductor (LDMOS) device. A semiconductor device may include a second conductive type well formed on and/or over a substrate. An LDMOS device may include a drain disposed on and/or over a substrate. An LDMOS device may include a field oxide at one side of a drain, a first conductive type impurity layer on and/or over a substrate, under a field oxide, and/or a second conductive type impurity layer between a first conductive type impurity layer and a field oxide.
    Type: Application
    Filed: November 24, 2009
    Publication date: June 10, 2010
    Inventor: Sang-Yong Lee
  • Patent number: 7732285
    Abstract: A method of forming a transistor with self-aligned source and drain extensions in close proximity to a gate dielectric layer of the transistor comprises forming a gate stack on a substrate, implanting a dopant into regions of the substrate adjacent to the gate stack, wherein the dopant increases the etch rate of the substrate and defines the location of the source and drain extensions, forming a pair of spacers on laterally opposite sides of the gate stack that are disposed atop the doped regions of the substrate, etching the doped regions of the substrate and portions of the substrate subjacent to the doped regions, wherein an etch rate of the doped regions is higher than an etch rate of the portions of the substrate subjacent to the doped regions, and depositing a silicon-based material in the etched portions of the substrate.
    Type: Grant
    Filed: March 28, 2007
    Date of Patent: June 8, 2010
    Assignee: Intel Corporation
    Inventors: Bernhard Sell, Tahir Ghani, Anand Murthy, Harry Gomez
  • Patent number: 7723171
    Abstract: According to the present invention, there is provided a semiconductor device fabrication method, comprising: depositing a mask material on a semiconductor substrate; patterning the mask material and forming a trench in a surface portion of the semiconductor substrate by etching, thereby forming a first projection in a first region, and a second projection wider than the first projection in a second region; burying a device isolation insulating film in the trench; etching away a predetermined amount of the device isolation insulating film formed in the first region; etching away the mask material formed in the second region; forming a first gate insulating film on a pair of opposing side surfaces of the first projection, and a second gate insulating film on an upper surface of the second projection; depositing a first gate electrode material on the device isolation insulating film, mask material, and second gate insulating film; planarizing the first gate electrode material by using as stoppers the mask materi
    Type: Grant
    Filed: April 2, 2008
    Date of Patent: May 25, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Yagishita, Akio Kaneko, Kazunari Ishimaru
  • Publication number: 20100123133
    Abstract: A device comprising a channel for charge carriers comprising non-ferromagnetic semiconducting in which charge carriers exhibit spin-orbit coupling, a region of semiconducting material of opposite conductivity type to the channel and configured so as to form a junction with the channel for injecting spin-polarised charge carriers into an end of the channel and at least one lead connected to the channel for measuring a transverse voltage across the channel.
    Type: Application
    Filed: August 21, 2009
    Publication date: May 20, 2010
    Inventors: Joerg Wunderlich, Tomas JUNGWIRTH, Andrew IRVINE, Jairo SINOVA
  • Patent number: 7718475
    Abstract: The present invention relates to a transistor comprising a gate channel area and a gate stack having mechanical stress arranged on the gate channel area.
    Type: Grant
    Filed: April 13, 2007
    Date of Patent: May 18, 2010
    Assignee: Qimonda AG
    Inventors: Matthias Goldbach, Erhard Landgraf, Michael Stadtmueller, Moritz Haupt, Sven Schmidbauer, Tobias Mono, Jorg Radecker
  • Patent number: 7718474
    Abstract: A semiconductor device includes a pair of select gate structures which are opposed to each other and which are formed in a select transistor formation area, each of the select gate structures including a gate insulating film formed on a semiconductor substrate and a gate electrode formed on the gate insulating film, and a pair of memory cell gate structure groups which are formed in a pair of memory cell formation areas between which the select transistor formation area is interposed and each of which has a plurality of memory cell gate structures arranged at the same pitch, the pair of select gate structures having sides which are opposed to each other, and at least the upper portion of each of the opposed sides of the select gate structures being inclined.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: May 18, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Shoichi Miyazaki, Hisataka Meguro, Fumitaka Arai
  • Patent number: 7713795
    Abstract: A flash memory device has a single-poly structure. A method for manufacturing the flash device includes forming an oxide layer over a semiconductor substrate having a P-well region or N-well region. A shallow trench isolation (STI) may be formed in the semiconductor substrate and the oxide layer. A drift region may be formed by injecting a dopant into a part of the P-well region or N-well region. A gate oxide layer and a poly-silicon layer may be formed over the well region, the drift region, and the STI. A control gate pattern may be formed by patterning the gate oxide layer and the poly-silicon layer. A source region and a drain region may be formed on opposite sides of the control gate pattern. A silicon nitride layer may be deposited over the control gate pattern and etching the silicon nitride layer to form a spacer around a sidewall of the control gate pattern.
    Type: Grant
    Filed: September 5, 2007
    Date of Patent: May 11, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Yong-Keon Choi
  • Patent number: 7709334
    Abstract: A stacked non-volatile memory device comprises a plurality of bit line and word line layers stacked on top of each other. The bit line layers comprise a plurality of bit lines that can be formed using advanced processing techniques making fabrication of the device efficient and cost effective. The device can be configured for NAND operation.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: May 4, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: Erh-Kun Lai, Hang-Ting Lue, Kuang-Yeu Hsieh
  • Patent number: 7704814
    Abstract: Disclosed is a method for manufacturing a semiconductor device including a low-voltage MOS transistor and a high-voltage MOS transistor.
    Type: Grant
    Filed: August 2, 2006
    Date of Patent: April 27, 2010
    Assignee: Dongbu Electronics Co., Ltd.
    Inventors: Hyun Soo Shin, Jae Won Han
  • Patent number: 7700416
    Abstract: The process uses a sacrificial stressor layer to provide tensile strained surface regions for bulk silicon or silicon on insulator (SOI) substrates. The process deposits a sacrificial layer of silicon germanium on the surface of the substrate and then patterns the workpiece to form trenches extending through the silicon germanium stressor layer into the semiconductor substrate. The process fills the trenches with insulating materials and then removes the silicon germanium stressor layer, for example using wet etching, leaving a strained silicon or SOI substrate with a pattern of shallow trench isolation structures. The trench fill material is selected to stress the regions of silicon between the trenches to provide a tensile strained surface region to the semiconductor substrate. Such a strained semiconductor surface region can have improved mobility properties and so is advantageous for forming devices such as MOSFETs.
    Type: Grant
    Filed: April 25, 2008
    Date of Patent: April 20, 2010
    Assignee: Acorn Technologies, Inc.
    Inventors: Paul A. Clifton, Daniel J. Connelly, R. Stockton Gaines
  • Patent number: 7692238
    Abstract: The present invention is an object to provide a high-performance vertical field effect transistor having a microminiaturized structure in which the distance between the gate and the channel is made short not through a microfabrication process, having a large gate capacitance, and so elaborated that the gate can control the channel current with a low voltage, and a method for simply and efficiently manufacturing such a field effect transistor not through a complex process such as a microfabrication process.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: April 6, 2010
    Assignee: Fujitsu Limited
    Inventor: Mizuhisa Nihei
  • Publication number: 20100078651
    Abstract: Electronic field effect devices, and methods of manufacture of these electronic field effect devices are disclosed. In particular, there is disclosed an electronic field effect device which has improved electrical properties due to the formation of a highly mobile two-dimensional charge-carrier gas in a simple structure formed from diamond in combination with polar materials.
    Type: Application
    Filed: January 22, 2008
    Publication date: April 1, 2010
    Inventors: Christopher John Howard Wort, Geoffrey Alan Scarsbrook, Ian Friel, Richard Stuart Balmer
  • Publication number: 20100078724
    Abstract: A transistor-type protection device includes: a semiconductor substrate; a well including a first-conductivity-type semiconductor formed in the semiconductor substrate; a source region including a second-conductivity-type semiconductor formed in the well; a gate electrode formed above the well via a gate insulating film at one side of the source region; a drain region including the second-conductivity-type semiconductor formed within the well apart at one side of the gate electrode; and a resistive breakdown region including a second-conductivity-type semiconductor region in contact with the drain region at a predetermined distance apart from the well part immediately below the gate electrode, wherein a metallurgical junction form and a impurity concentration profile of the resistive breakdown region are determined so that a region not depleted at application of a drain bias when junction breakdown occurs in the drain region or the resistive breakdown region may remain in the resistive breakdown region.
    Type: Application
    Filed: September 29, 2009
    Publication date: April 1, 2010
    Applicant: SONY CORPORATION
    Inventors: Tsutomu Imoto, Kouzou Mawatari
  • Patent number: 7687324
    Abstract: The present invention relates to a semiconductor device, comprising a plurality of word lines arranged on a semiconductor substrate, wherein plurality of word lines are grouped into groups of two word lines, a spacer dielectric layer formed between each group of two word lines, and an interlayer dielectric layer formed so as to fill the area between the word lines in each group of two word lines and cover the word lines and the spacer dielectric layers.
    Type: Grant
    Filed: September 7, 2007
    Date of Patent: March 30, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Jae Young Choi
  • Patent number: 7674697
    Abstract: A process is described for forming a fully multiple silicided gate for complementary MOSFET (CMOS) devices. A silicidation process is performed on a gate structure, which includes a gate material overlying a gate dielectric disposed on a substrate. A layer of insulating material is formed which covers the gate structure; the thickness of this layer is less at sidewalls of the gate structure than on a top surface of the gate structure. A portion of the layer of insulating material is then removed, so that the sidewalls of the gate structure are exposed. A layer of metal is formed which covers the gate structure so that the metal is in contact with the sidewalls of the gate structure. The silicidation process is then performed, in which a metal silicide is formed from the gate material and the metal; the gate material is thereby fully silicided.
    Type: Grant
    Filed: July 6, 2005
    Date of Patent: March 9, 2010
    Assignee: International Business Machines Corporation
    Inventors: Zhijiong Luo, Huilong Zhu
  • Patent number: 7674670
    Abstract: The invention includes methods of forming channel region implants for two transistor devices simultaneously, in which a mask is utilized to block a larger percentage of a channel region location of one of the devices relative to the other. The invention also pertains to methods of forming capacitor structures in which a first capacitor electrode is spaced from a semiconductor substrate by a dielectric material, a second capacitor electrode comprises a conductively-doped diffusion region within the semiconductor material, and a capacitor channel region location is beneath the dielectric material and adjacent the conductively-doped diffusion region. An implant mask is formed to cover only a first portion of the capacitor channel region location and to leave a second portion of the capacitor channel region location uncovered. While the implant mask is in place, dopant is implanted into the uncovered second portion of the capacitor channel region location.
    Type: Grant
    Filed: April 18, 2006
    Date of Patent: March 9, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Hongmei Wang, Kurt D. Beigel, Fred D. Fishburn, Rongsheng Yang
  • Patent number: 7670882
    Abstract: A system performs a method including contact printing one of a wetting agent and a non-wetting agent on a semiconductor and inkjet printing an electrically conductive material proximate said one of the wetting agent and the non-wetting agent.
    Type: Grant
    Filed: April 5, 2005
    Date of Patent: March 2, 2010
    Assignee: Hewlett-Packard Development Company, L.P.
    Inventors: Gregory S. Herman, Darin Peterson, Martin Joseph Manning
  • Publication number: 20100041185
    Abstract: A method of producing a field effect transistor arrangement. A substrate having a first crystal surface orientation is provided. A first layer is formed above a first portion of the substrate, the first layer having a second crystal surface orientation different from the first crystal surface orientation. A second layer is formed on at least a second portion of the substrate and adjacent to the first layer, the second layer having the first crystal surface orientation. A first buried oxide layer is formed between the substrate and the first layer. Micro-cavities are formed in the second layer and oxidizing the micro-cavities, thereby forming a second buried oxide layer between the substrate and the second layer. A first field effect transistor of a first conductivity type is formed in or on the first layer. A second field effect transistor of a second conductivity type is formed in or on the second layer.
    Type: Application
    Filed: October 23, 2009
    Publication date: February 18, 2010
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Karl HOFMANN, Luis-Felipe GILES
  • Publication number: 20100035387
    Abstract: A method for fabricating a CMOS-compatible MEMS device is disclosed. In particular, disclosed is a method of ordering the acts in the fabrication process of the two device types such that one device type will not be damaged by the fabrication process of the other device type. One aspect of the method involves first depositing a masking layer over a portion of a substrate layer to isolate areas for the formation of a second device type. The first device type is then fabricated on the unmasked portion of the substrate. A first device is then protected by depositing a masking layer over the first device. Next, a portion of the masking layer over the substrate is removed to expose areas to form a second device type. The second device type is then fabricated on the unmasked portion of the substrate. Finally, the masking layer over the first device type is removed.
    Type: Application
    Filed: April 10, 2009
    Publication date: February 11, 2010
    Inventor: Chia-Shing Chou
  • Publication number: 20100029048
    Abstract: Field effect semiconductor diodes and improved processing techniques for forming the field effect semiconductor diodes having semiconductor layers forming a source, a body and a drain of a field effect device, the semiconductor layers forming pedestals having an insulating layer and a gate on sides thereof vertically spanning the body and a part of the source and drain layers, and a conductive contact layer over the pedestals making electrical contact with the drain and the gate, the conductive layer being in contact with the body at least one position on each pedestal. The conductive layer may be in contact with the body through at least one opening in the source layer, or the source layer may be a discontinuous doped layer, the body layer extending between the discontinuous doped layer forming the source layer to be in electrical contact with the conductive layer. Other aspects and variations of the invention are disclosed.
    Type: Application
    Filed: October 13, 2009
    Publication date: February 4, 2010
    Applicant: INTEGRATED DISCRETE DEVICES, LLC
    Inventors: Richard A. Metzler, Frederick A. Flitsch
  • Patent number: 7655988
    Abstract: A multi-channel transistor device and a method of manufacturing the same are provided. The method of a manufacturing a multi-channel transistor device includes defining an active region in a semiconductor substrate by forming an isolation layer exposing an upper side portion of the active region. An active expanding region is formed on the exposed upper side portion of the active region by selective epitaxial growth (SEG). A portion of the active region is selectively etched to define first channel bars in the active expanding region that extend between first and second laterally separated portions of the active region and a second channel bar that is an unetched portion of the active region. A portion of the isolation layer is selectively removed such as to expose side portions of the second channel bar and bottom surface portions of the first channel bars. A gate is formed on the first and second channel bars with a gate dielectric layer between the gate and the channel bars.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: February 2, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Se-myeong Jang, Woun-suck Yang, Min-sang Kim
  • Patent number: 7648860
    Abstract: A method of manufacturing a thin-film transistor or like structure provides conductive “tails” below an overhang region formed by a top gate structure. The tails increase in thickness as they extend outward from a point under the overhang to the source and drain contacts. The tails provide a low resistance conduction path between the source and drain regions and the channel, with low parasitic capacitance. The thickness profile of the tails is controlled by the deposition of material over and on the lateral side surfaces of the gate structure.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: January 19, 2010
    Assignee: Palo Alto Research Center Incorporated
    Inventors: Rene Lujan, William S. Wong