Manufacture Of Electrode On Semiconductor Body Using Process Other Than By Epitaxial Growth, Diffusion Of Impurities, Alloying Of Impurity Materials, Or Radiation Bombardment (epo) Patents (Class 257/E21.158)

  • Publication number: 20130157454
    Abstract: A self-aligned wet etching process comprises the steps of: etching a substrate having an etch protection layer on a surface thereof to form a plurality of trenches spaced from each other; and sequentially forming an insulating layer, an etch stop layer and a primary insulator in each trench, wherein the primary insulator is filled inside an accommodation space surrounded by the etch stop layer. During the wet etching process, the etch stop layer protects the primary insulator from being etched, whereby is achieved anisotropic wet etching. Further, the present invention expands the contact areas for electrically connecting with external circuits and exempts the electric contactors formed on the contact areas from short circuit caused by excessively etching the primary insulators.
    Type: Application
    Filed: December 15, 2011
    Publication date: June 20, 2013
    Inventors: Wei-Che CHANG, Chun-Hua Huang, Chung-Yung Ai, Wei-Chih Liu, Hsuan-Yu Fang, Yu-Ling Huang, Meng-Hsien Chen, Chun-Chiao Tseng, Yu-Shan Hsu, Kazuaki Takesako, Hirotake Fujita, Tomohiro Kadoya, Wen Kuei Hsu, Chih-Wei Hsiung, Yukihiro Nagai, Yoshinori Tanaka
  • Publication number: 20130157462
    Abstract: The present disclosure provides a method including providing a semiconductor substrate and forming a first layer and a second layer on the semiconductor substrate. The first layer is patterned to provide a first element, a second element, and a space interposing the first and second elements. Spacer elements are then formed on the sidewalls on the first and second elements of the first layer. Subsequently, the second layer is etched using the spacer elements and the first and second elements as a masking element.
    Type: Application
    Filed: December 16, 2011
    Publication date: June 20, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., ("TSMC")
    Inventors: Chia Ying Lee, Chih-Yuan Ting, Jyu-Horng Shieh, Minghsing Tsai, Syun-Ming Jang
  • Publication number: 20130147047
    Abstract: An integrated circuit includes a base element and a copper element over the base element, the copper element having a thickness of at least 5 ?m and a ratio of average grain size to thickness of less than 0.7.
    Type: Application
    Filed: December 9, 2011
    Publication date: June 13, 2013
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Thomas Detzel, Johann Gross, Robert Illing, Maximilian Krug, Sven Gustav Lanzerstorfer, Michael Nelhiebel, Werner Robl, Michael Rogalli, Stefan Woehlert
  • Patent number: 8461451
    Abstract: A vertical multi-junction photovoltaic device includes a structured substrate including a plurality of substantially vertical elongated structures protruding from a planar surface of the structured substrate. An areal density of the elongated structures at a first sliced plane parallel to the planer surface is different than an areal density of the elongated structures at a second sliced plane parallel to the planar surface. The device further includes least a first sub-cell and a second sub-cell, each having a corresponding vertical p-n or p-i-n junction formed of conformal layers, the first sub-cell being formed in a first region incorporating the first sliced plane and the second sub-cell being formed above the first sub-cell in a second region incorporating the second sliced plane.
    Type: Grant
    Filed: June 11, 2009
    Date of Patent: June 11, 2013
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Ying Jun James Huang, Stephen Day
  • Patent number: 8461634
    Abstract: An integrated circuit device and method for manufacturing the same are disclosed. An exemplary device includes a semiconductor substrate having a substrate surface; a trench isolation structure disposed in the semiconductor substrate, the trench isolation structure having a trench isolation structure surface that is substantially planar to the substrate surface; and a gate feature disposed over the semiconductor substrate, wherein the gate feature includes a portion that extends from the substrate surface to a depth in the trench isolation structure, the portion being defined by a trench isolation structure sidewall and a semiconductor substrate sidewall, such that the portion tapers from a first width at the substrate surface to a second width at the depth, the first width being greater than the second width.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: June 11, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Lie-Yong Yang, Sheng Chiang Hung, Kian-Long Lim, Ping-Wei Wang
  • Publication number: 20130140704
    Abstract: A capacitive micromachined ultrasonic transducer (CMUT), which has a conductive structure that can vibrate over a cavity, utilizes a thick oxide layer to substantially increase the volume of the cavity which, in turn, allows the CMUT to receive and transmit low frequency ultrasonic waves. In addition, the CMUT can include a back side bond pad structure that eliminates the need for and cost of one patterned photoresist layer.
    Type: Application
    Filed: December 2, 2011
    Publication date: June 6, 2013
    Inventors: STEVEN ADLER, PETER JOHNSON, IRA OAKTREE WYGANT
  • Publication number: 20130140634
    Abstract: A method of replacing semiconductor material with metal, Replacement Metal Gate Field Effect Transistors (RMG FETs) and Contacts (RMCs), and Integrated Circuit (IC) chips including the FETs and/or RMCs. A patterned semiconductor layer, e.g., silicon, is formed on a dielectric layer, e.g., a layered gate dielectric. A field dielectric layer fills between shapes in the patterned semiconductor layer. Metal is deposited on the shapes. The wafer is annealed to replace semiconductor in each shape with metal to form metal FET gates or contacts.
    Type: Application
    Filed: December 5, 2011
    Publication date: June 6, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kevin K. Chan, Christopher D'Emic, Young-Hee Kim, Dae-gyu Park, Jeng-Bang Yau
  • Publication number: 20130141089
    Abstract: A giant magneto-impedance (GMI) magnetometer is formed in a semiconductor wafer fabrication sequence, which significantly reduces the size and cost of the GMI magnetometer. The semiconductor wafer fabrication sequence forms a magnetic conductor, a non-magnetic conductor that is wrapped around the magnetic conductor as a coil, and non-magnetic conductors that touch the opposite ends of the magnetic conductor.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 6, 2013
    Inventors: Terry Dyer, Anuraag Mohan, Peter J. Hopper
  • Publication number: 20130140620
    Abstract: The present invention discloses a flash memory. The flash memory includes a substrate and a memory string, a plurality of landing pads, a plurality of common source lines, a plurality of bit line contacts and at least a bit line, which are disposed on the substrate in sequence. The memory string includes a plurality of storage transistors. The landing pads are disposed between each of the storage transistors. The common source lines and the bit line contact are electrically connected to the landing pads alternatively. The common line is disposed on the common line contacts and is electrically connected thereto. The present invention further provides a manufacturing method of making the same.
    Type: Application
    Filed: February 17, 2012
    Publication date: June 6, 2013
    Inventors: Tzung-Han Lee, Chung-Lin Huang, Ron Fu Chu, Dah-Wei Liu
  • Publication number: 20130140691
    Abstract: A semiconductor device has a semiconductor wafer with a first conductive layer formed over a surface of the semiconductor wafer. A first insulating layer is formed over the surface of the semiconductor wafer and first conductive layer. A second conductive layer is formed over the first insulating layer and first conductive layer. A second insulating layer is formed over the first insulating layer and second conductive layer. A plurality of openings is formed in the second insulating layer in a bump formation area of the semiconductor wafer to expose the second conductive layer and reduce adverse effects of electro-migration. The openings are separated by portions of the second insulating layer. A UBM layer is formed over the openings in the second insulating layer in the bump formation area electrically connected to the second conductive layer. A bump is formed over the UBM layer.
    Type: Application
    Filed: December 6, 2011
    Publication date: June 6, 2013
    Applicant: STATS CHIPPAC, LTD.
    Inventors: Xusheng Bao, Ma Phoo Pwint Hlaing, Jian Zuo
  • Patent number: 8455360
    Abstract: A method for fabricating a storage node of a semiconductor device includes forming a sacrificial dielectric pattern with a storage node hole on a substrate, forming a support layer on the sacrificial dielectric pattern, forming a storage node, supported by the support layer, in the storage node hole, performing a full dip-out process to expose the outer wall of the storage node, and performing a cleaning process for removing or reducing a bridge-causing material formed on the surface of the support layer.
    Type: Grant
    Filed: March 28, 2011
    Date of Patent: June 4, 2013
    Assignee: SK Hynix Inc.
    Inventors: Hyo Geun Yoon, Ji Yong Park, Sun Jin Lee
  • Patent number: 8455870
    Abstract: A thin film transistor array panel includes an insulation substrate. A signal line is formed on the insulation substrate. A thin film transistor is connected to the signal line. A color filter is formed on the substrate. An organic insulator is formed on the color filter and includes a first portion and a second portion having different thicknesses. A light blocking member is formed on the second portion of the organic insulator. A difference between the surface height of the first portion of the organic insulator and the surface height of the second portion of the organic insulator is in the range of about 2.0 ?m to 3.0 ?m.
    Type: Grant
    Filed: January 19, 2010
    Date of Patent: June 4, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jong-In Kim, Jang-Soo Kim, Hong-Suk Yoo, Yong-Hwan Kim, Hwa-Yeul Oh, Jae-Ho Choi, Sang-Hee Jang
  • Patent number: 8455354
    Abstract: A method of forming integrated circuits includes forming a mask layer over a gate electrode line, wherein the gate electrode line is over a well region of a semiconductor substrate; forming an opening in the mask layer, wherein a portion of the gate electrode line and a well pickup region of the well region are exposed through the opening; and removing the portion of the gate electrode line through the opening.
    Type: Grant
    Filed: April 6, 2011
    Date of Patent: June 4, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jung-Hsuan Chen, Yen-Huei Chen, Li-Chun Tien, Hung-Jen Liao
  • Publication number: 20130134546
    Abstract: A method includes forming one or more trenches in a substrate; lining the one or more trenches with a dielectric liner; filling the one or more trenches with a conductive electrode to form one or more trench electrodes; forming a transistor layer on the substrate; connecting each of the one or more trench electrodes to at least one access transistor in the transistor layer; and thinning the substrate to expose at least a portion of each of the trench electrodes.
    Type: Application
    Filed: November 30, 2011
    Publication date: May 30, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Arjang Hassibi, Ali Khakifirooz, Dharmendra S. Modha
  • Publication number: 20130134578
    Abstract: Wire bonds are formed at an integrated circuit device so that multiple wires are bonded to a single bond pad. In a particular embodiment, the multiple wires are bonded by first applying a stud bump to the pad and successively bonding each of the wires to the stud bump. Another stud bump can be placed over the bonded wires to provide additional connection security.
    Type: Application
    Filed: November 29, 2011
    Publication date: May 30, 2013
    Applicant: SPANSION LLC
    Inventors: Gin Ghee Tan, Lai Beng Teoh, Royce Yeoh Kao Tziat, Sally Foong Yin Lye
  • Publication number: 20130134563
    Abstract: A structure comprises a top metal connector formed underneath a bond pad. The bond pad is enclosed by a first passivation layer and a second passivation layer. A polymer layer is further formed on the second passivation layer. The dimension of an opening in the first passivation layer is less than the dimension of the top metal connector. The dimension of the top metal connector is less than the dimensions of an opening in the second passivation layer and an opening in the polymer layer.
    Type: Application
    Filed: November 30, 2011
    Publication date: May 30, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yao-Chun Chuang, Chang-Chia Huang, Tsung-Shu Lin, Chen-Cheng Kuo, Chen-Shien Chen
  • Publication number: 20130134391
    Abstract: A method and an apparatus for doping a graphene and nanotube thin-film transistor field-effect transistor device to decrease contact resistance with a metal electrode. The method includes selectively applying a dopant to a metal contact region of a graphene and nanotube field-effect transistor device to decrease the contact resistance of the field-effect transistor device.
    Type: Application
    Filed: November 29, 2011
    Publication date: May 30, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Ali Afzali-Ardakani, Bhupesh Chandra, George S. Tulevski, Fengnian Xia
  • Publication number: 20130126950
    Abstract: A system and method for forming a semiconductor device is provided. An embodiment comprises forming a silicide region on a substrate along with a transition region between the silicide region and the substrate. The thickness of the silicide precursor material layer along with the annealing conditions are controlled such that there is a larger ratio of one atomic species within the transition region than another atomic species, thereby increasing the hole mobility within the transition region.
    Type: Application
    Filed: November 23, 2011
    Publication date: May 23, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jun-Nan Nian, Li-Yen Fang, Yu-Ting Lin, Shih-Chieh Chang, Yu-Ku Lin, Ying-Lang Wang
  • Publication number: 20130126816
    Abstract: Some embodiments include methods of forming memory cells. A series of rails is formed to include bottom electrode contact material. Sacrificial material is patterned into a series of lines that cross the series of rails. A pattern of the series of lines is transferred into the bottom electrode contact material. At least a portion of the sacrificial material is subsequently replaced with top electrode material. Some embodiments include memory arrays that contain a second series of electrically conductive lines crossing a first series of electrically conductive lines. Memory cells are at locations where the electrically conductive lines of the second series overlap the electrically conductive lines of the first series. First and second memory cell materials are within the memory cell locations. The first memory cell material is configured as planar sheets and the second memory cell material is configured as upwardly-opening containers.
    Type: Application
    Filed: November 17, 2011
    Publication date: May 23, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Sanh D. Tang, Scott E. Sills, John K. Zahurak
  • Publication number: 20130130490
    Abstract: In some embodiments of the present invention, methods of using one or more small spot showerhead apparatus to deposit materials using CVD, PECVD, ALD, or PEALD on small spots in a site isolated, combinatorial manner are described. The small spot showerheads may be configured within a larger combinatorial showerhead to allow multi-layer film stacks to be deposited in a combinatorial manner.
    Type: Application
    Filed: November 22, 2011
    Publication date: May 23, 2013
    Applicant: Intermolecular, Inc.
    Inventors: Albert Lee, Tony P. Chiang, Jason Wright
  • Patent number: 8445300
    Abstract: Disclosed is a method of fabricating a display device that includes: forming an adhesive layer of an inorganic material on a carrier substrate having a display area and a non-display area surrounding the display area; forming a plurality of adhesive patterns of a metallic material on the adhesive layer, each of the plurality of adhesive patterns having a width and a height; forming a plastic substrate on the adhesive layer and the plurality of adhesive patterns; forming a plurality of elements for displaying images on the plastic substrate; cutting the carrier substrate and the plastic substrate to divide the display area and the non-display area; and detaching the carrier substrate from the plastic substrate.
    Type: Grant
    Filed: July 14, 2010
    Date of Patent: May 21, 2013
    Assignee: LG Display Co., Ltd.
    Inventors: Hyun-Jin An, Kyoung-Mook Lee
  • Publication number: 20130119382
    Abstract: A system and method for plating a contact is provided. An embodiment comprises forming protective layers over a contact and a test pad, and then selectively removing the protective layer over the contact without removing the protective layer over the test pad. With the protective layer still on the test pad, a conductive layer may be plated onto the contact without plating it onto the test pad. After the contact has been plated, the protective layer over the contact may be removed.
    Type: Application
    Filed: November 16, 2011
    Publication date: May 16, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chin-Fu Kao, Cheng-Lin Huang, Jing-Cheng Lin
  • Publication number: 20130122671
    Abstract: The invention discloses a method for cleaning residues from a semiconductor substrate during a nickel platinum silicidation process. Embodiments of the invention provide a multi-step cleaning process, comprising exposing the substrate to a nitric acid solution after a first anneal, followed by an aqua regia solution after a second anneal. The substrate can be optionally exposed to a hydrochloric acid solution afterward to completely remove any remaining platinum residues.
    Type: Application
    Filed: November 15, 2011
    Publication date: May 16, 2013
    Applicants: Globalfoundries, Intermolecular, Inc.
    Inventors: Anh Duong, Sean Barstow, Clemens Fitz, John Foster, Olov Karlsson, Bei Li, James Mavrinac
  • Publication number: 20130122670
    Abstract: The invention discloses a method for cleaning residues from a semiconductor substrate during a nickel platinum silicidation process. Post silicidation residues of nickel and platinum may not be removed adequately just by an aqua regia solution (comprising a mixture of nitric acid and hydrochloric acid). Therefore, embodiments of the invention provide a multi-step residue cleaning, comprising exposing the substrate to an aqua regia solution, followed by an exposure to a chlorine gas or a solution comprising dissolved chlorine gas, which may further react with remaining platinum residues, rendering it more soluble in aqueous solution and thereby dissolving it from the surface of the substrate.
    Type: Application
    Filed: November 14, 2011
    Publication date: May 16, 2013
    Applicant: Intermolecular, Inc.
    Inventors: Anh Duong, John Foster, Olov Karlsson, James Mavrinac, Usha Raghuram
  • Publication number: 20130119550
    Abstract: In one embodiment, a method of manufacturing a semiconductor device includes sequentially forming a first insulator, a second insulator, and a sacrificial layer on a semiconductor substrate, and forming plural core materials from the sacrificial layer and the second insulator. The method further includes forming first and second interconnects on side surfaces of each core material to form plural first interconnects and plural second interconnects alternately, each first interconnect having a first side surface in contact with a core material and a second side surface positioned on an opposite side of the first side surface, and each second interconnect having a third side surface in contact with a core material and a fourth side surface positioned on an opposite side of the third side surface. The method further includes removing the sacrificial layer so that the second insulator remains, after the first and second interconnects are formed.
    Type: Application
    Filed: August 9, 2012
    Publication date: May 16, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Yumi HAYASHI
  • Patent number: 8440554
    Abstract: A method includes forming through vias in a substrate of an array. Nubs of the through vias are exposed from a backside surface of the substrate. A backside passivation layer is applied to enclose the nubs. Laser-ablated artifacts are formed in the backside passivation layer to expose the nubs. Circuit features are formed within the laser-ablated artifacts. By forming the circuit features within the laser-ablated artifacts in the backside passivation layer, the cost of fabricating the array is minimized. More particularly, the number of operations to form the embedded circuit features is minimized thus minimizing fabrication cost of the array.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: May 14, 2013
    Assignee: Amkor Technology, Inc.
    Inventors: David Jon Hiner, Ronald Patrick Huemoeller
  • Publication number: 20130115741
    Abstract: The invention discloses a method for cleaning residues from a semiconductor substrate during a nickel platinum silicidation process, comprising using an aqua regia cleaning solution (comprising a mixture of nitric acid and hydrochloric acid) with microwave assisted heating. Low boiling temperature of hydrochloric acid prevents heating the aqua regia solution to a high temperature, impeding the effectiveness of post silicidation nickel and platinum residue removal. Therefore, embodiments of the invention provide a microwave assisted heating of the substrate in an aqua regia solution, selectively heating platinum residues without significantly increasing the temperature of the aqua regia solution, rendering platinum residues to be more soluble in aqueous solution and thereby dissolving it from the surface of the substrate.
    Type: Application
    Filed: November 9, 2011
    Publication date: May 9, 2013
    Applicant: Intermolecular, Inc.
    Inventors: Anh Duong, Olov Karlsson
  • Publication number: 20130115767
    Abstract: A metal interconnect structure, which includes metal alloy capping layers, and a method of manufacturing the same. The originally deposited alloy capping layer element within the interconnect features will diffuse into and segregate onto top surface of the metal interconnect. The metal alloy capping material is deposited on a reflowed copper surface and is not physically in contact with sidewalls of the interconnect features. The metal alloy capping layer is also reflowed on the copper. Thus, there is a reduction in electrical resistivity impact from residual alloy elements in the interconnect structure. That is, there is a reduction, of alloy elements inside the features of the metal interconnect structure. The metal interconnect structure includes a dielectric layer with a recessed line, a liner material on sidewalls, a copper material, an alloy capping layer, and a dielectric cap.
    Type: Application
    Filed: October 17, 2012
    Publication date: May 9, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: International Business Machines Corporation
  • Publication number: 20130113097
    Abstract: In a method of improving ball strength of a semiconductor device, a ball pattern of a plurality of connection balls to be formed as electrical connections for the semiconductor device is received. The pattern includes a number of columns and rows crossing each other. The balls are arranged at intersections of the columns and rows. An arrangement of balls in a region of the ball pattern is modified so that the region includes no isolated balls.
    Type: Application
    Filed: November 8, 2011
    Publication date: May 9, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Tsung-Yuan YU, Hsien-Wei CHEN, Ying-Ju CHEN, Shih-Wei LIANG
  • Patent number: 8435891
    Abstract: A method includes providing a semiconductor structure including a plurality of devices; depositing a nitride cap over the semiconductor structure; forming an aluminum mask over the nitride cap, the aluminum mask including a plurality of first openings; converting the aluminum mask to an aluminum oxide etch stop layer; and performing middle-of-line fabrication processing, leaving the aluminum oxide etch stop layer in place. A semiconductor structure includes a plurality of devices on a substrate; a nitride cap over the plurality of devices; an aluminum oxide etch stop layer over the nitride cap; an inter-level dielectric (ILD) over the aluminum oxide etch stop layer; and a plurality of contacts extending through the ILD, the aluminum oxide etch stop layer and the nitride cap to the plurality of devices.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: May 7, 2013
    Assignee: International Business Machines Corporation
    Inventors: Brett H. Engel, Ying Li, Viraj Y. Sardesai, Richard S. Wise
  • Patent number: 8435841
    Abstract: A method of manufacturing a semiconductor device begins by fabricating an n-type metal oxide semiconductor (NMOS) transistor structure on a semiconductor wafer. The method continues by forming an optically reflective layer overlying the NMOS transistor structure, forming a layer of tensile stress inducing material overlying the optically reflective layer, and curing the layer of tensile stress inducing material by applying ultraviolet radiation. Some of the ultraviolet radiation directly radiates the layer of tensile stress inducing material and some of the ultraviolet radiation radiates the layer of tensile stress inducing material by reflecting from the optically reflective layer.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: May 7, 2013
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Ralf Richter, Torsten Huisinga
  • Patent number: 8435822
    Abstract: A method for forming a thin film photovoltaic device having patterned electrode films includes providing a soda lime glass substrate with an overlying lower electrode layer comprising a molybdenum material. The method further includes subjecting the lower electrode layer with one or more pulses of electromagnetic radiation from a laser source to ablate one or more patterns associated with one or more berm structures from the lower electrode layer. Furthermore, the method includes processing the lower electrode layer comprising the one or more patterns using a mechanical brush device to remove the one or more berm structures followed by treating the lower electrode layer comprising the one or more patterns free from the one or more berm structures. The method further includes forming a layer of photovoltaic material overlying the lower electrode layer and forming a first zinc oxide layer overlying the layer of photovoltaic material.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: May 7, 2013
    Assignee: Stion Corporation
    Inventor: Robert D. Wieting
  • Publication number: 20130109168
    Abstract: A method for manufacturing a semiconductor device includes: forming a metal layer on a semiconductor layer; forming a plated layer having a pattern corresponding to a pattern of a gate bus line which couples each gate finger of a plurality of FETs on the metal layer, the pattern corresponding to the pattern of the gate bus line having a deficient part; forming a mask layer which covers the metal layer exposed in the deficient part; and patterning the metal layer by using the plated layer and the mask layer as a mask.
    Type: Application
    Filed: October 26, 2012
    Publication date: May 2, 2013
    Applicant: SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC.
    Inventor: SUMITOMO ELECTRIC DEVICE INNOVATIONS, INC.
  • Publication number: 20130105968
    Abstract: Generally, the subject matter disclosed herein relates to interconnect structures used for making electrical connections between semiconductor chips in a stacked or 3D chip configuration, and methods for forming the same. One illustrative method disclosed herein includes forming a conductive via element in a semiconductor substrate, wherein the conductive via element is formed from a front side of the semiconductor substrate so as to initially extend a partial distance through the semiconductor substrate. The illustrative method also includes forming a via opening in a back side of the semiconductor substrate to expose a surface of the conductive via element, and filling the via opening with a layer of conductive contact material.
    Type: Application
    Filed: November 2, 2011
    Publication date: May 2, 2013
    Inventors: Yue Kang Lu, Shaoning Yuan, Yeow Kheng Lim, Juan Boon Tan
  • Publication number: 20130109173
    Abstract: A method for removing silicon nitride spacers includes providing a silicon substrate having a gate formed thereon, silicon nitride spacers formed on sidewalls of the gate, and source/drain regions formed in the silicon substrate on both sides of the gate, forming metal layers on the gate and the source/drain regions, and performing a first annealing process in which the metal layers react with the silicon substrate so as to form first metal silicide layers. The method further includes forming protective layers on the first metal silicide layers, placing the silicon substrate into a phosphorous acid solution saturated with silicon ions so as to remove the silicon nitride spacers, and after removing the silicon nitride spacers, performing a second annealing process in which the first metal silicide layers react with the silicon substrate so as to form second metal silicide layers.
    Type: Application
    Filed: January 24, 2012
    Publication date: May 2, 2013
    Applicant: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: HUANXIN LIU
  • Publication number: 20130105993
    Abstract: There is set forth herein a semiconductor assembly including an integrated circuit and a set of springs extending from the integrated circuit that can be adapted for connection to an external article. The external article can be e.g. an integrated circuit or a printed circuit board. On connection of the semiconductor assembly to an external article there can be defined a semiconductor assembly comprising the integrated circuit the set of springs and the external article. The set of springs can be metal nanospring array can formed by GLAD (Glancing angle deposition) process. In one embodiment, the nanospring array can be GLAD formed on a substrate and then applied to the integrated circuit. In one embodiment, the nanospring array can be GLAD formed on the integrated circuit.
    Type: Application
    Filed: October 28, 2011
    Publication date: May 2, 2013
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Raj BAHADUR, David SHADDOCK, Binoy SHAH
  • Publication number: 20130109147
    Abstract: Some embodiments include methods of forming memory cells. Metal oxide may be deposited over a first electrode, with the deposited metal oxide having a relatively low degree of crystallinity. The degree of crystallinity within the metal oxide may be increased after the deposition of the metal oxide. A dielectric material may be formed over the metal oxide, and a second electrode may be formed over the dielectric material. The degree of crystallinity may be increased with a thermal treatment. The thermal treatment may be conducted before, during, and/or after formation of the dielectric material.
    Type: Application
    Filed: October 26, 2011
    Publication date: May 2, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Noel Rocklein, D.V. Nirmal Ramaswamy, Dale W. Collins, Swapnil Lengade, Srividya Krishnamurthy, Mark Korber
  • Patent number: 8431473
    Abstract: A method for fabricating a semiconductor device is disclosed. The method includes the steps of: providing a substrate; forming a dielectric layer on the substrate, wherein the dielectric layer comprises metal interconnects therein; forming a top metal layer on the dielectric layer; and forming a passivation layer on the top metal layer through high-density plasma chemical vapor deposition (HDPCVD) process.
    Type: Grant
    Filed: July 7, 2011
    Date of Patent: April 30, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Shu-Hui Hu, Shih-Feng Su, Hui-Shen Shih, Chih-Chien Liu, Po-Chun Chen, Ya-Jyuan Hung, Bin-Siang Tsai, Chin-Fu Lin
  • Publication number: 20130099360
    Abstract: A semiconductor package includes a semiconductor chip having a front surface and a back surface facing away from the front surface; a through electrode formed in the semiconductor chip and passing through the front surface and the back surface; and a contamination preventing layer formed in the semiconductor chip, the through electrode passing through the contamination preventing layer.
    Type: Application
    Filed: August 15, 2012
    Publication date: April 25, 2013
    Applicant: SK HYNIX INC.
    Inventor: Ho Young SON
  • Publication number: 20130099391
    Abstract: A corner crackstop is formed in each of the four corners of an integrated circuit (IC) chip, in which the corner crackstop differs structurally from a portion of the crackstop disposed along the sides of the IC chip. Each corner crackstop includes a plurality of layers, formed on a top surface of a silicon layer of the IC chip, within a perimeter boundary region that comprises a triangular area, in which a right angle is disposed on a bisector of the corner, equilateral sides of the triangle are parallel to sides of the IC chip, and the right angle is proximate to the corner relative to a hypotenuse of the triangle. The plurality of layers of the corner crackstop include crackstop elements, each comprising a metal cap centered over a via bar, in which the plurality of layers of the corner crackstop is chamfered to deflect crack ingress forces by each corner crackstop.
    Type: Application
    Filed: October 19, 2011
    Publication date: April 25, 2013
    Applicant: International Business Machines Corporation
    Inventors: Mark C. Lamorey, David B. Stone
  • Publication number: 20130099329
    Abstract: A method for defining an insulator in a semiconductor substrate includes forming a trench in the substrate, forming in the trench an insulating material having its upper surface arranged above the surface of the substrate, and forming a diffusion barrier layer in a portion of the insulating material located above the surface of the semiconductor substrate. Such insulators can be used, for example, to insulate and delineate electronic components or portions of components formed in the substrate.
    Type: Application
    Filed: October 24, 2012
    Publication date: April 25, 2013
    Applicant: STMICROELECTRONICS (CROLLES 2) SAS
    Inventor: STMICROELECTRONICS (CROLLES 2) SAS
  • Publication number: 20130099313
    Abstract: FinFET structures and methods of manufacturing the FinFET structures are disclosed. The method includes performing an oxygen anneal process on a gate stack of a FinFET structure to induce Vt shift. The oxygen anneal process is performed after sidewall pull down and post silicide.
    Type: Application
    Filed: October 19, 2011
    Publication date: April 25, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Eduard A. CARTIER, Brian J. GREENE, Dechao GUO, Gan WANG, Yanfeng WANG, Keith Kwong Hon WONG
  • Patent number: 8426964
    Abstract: A method for forming a micro bump includes forming a first nano-particle layer on a substrate and forming a second nano-particle layer on the first nano-particle layer. The first and second nano-particle layers include a plurality of first nano particles and a plurality of second nano particles, respectively. The method further includes irradiating a laser beam onto the second nano-particle layer, where the laser beam penetrates through the second nano-particle layer and is at least partially absorbed by at least some of the first nano particles to generate heat. The first nano particles and the second nano particles have different absorption rates with respect to the laser beam.
    Type: Grant
    Filed: April 29, 2011
    Date of Patent: April 23, 2013
    Assignee: Industrial Technology Research Institute
    Inventors: Ruoh-Huey Uang, Yi-Ting Cheng
  • Publication number: 20130093061
    Abstract: A semiconductor device includes: a semiconductor substrate; an underlying wiring on the semiconductor substrate; a resin film extending to the semiconductor substrate and the underlying wiring, and having a first opening on the underlying wiring; a first SiN film on the underlying wiring and the resin film, and having a second opening in the first opening; an upper layer wiring on the underlying wiring and part of the resin film; and a second SiN film on the upper layer wiring and the resin film, and joined to the first SiN film on the resin film. The upper layer wiring includes a Ti film, connected to the underlying wiring via the first and second openings, and an Au film on the Ti film. The first and second SiN films circumferentially protect the Ti film.
    Type: Application
    Filed: June 18, 2012
    Publication date: April 18, 2013
    Applicant: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Takayuki HISAKA, Takahiro NAKAMOTO, Toshihiko SHIGA, Koichiro NISHIZAWA
  • Publication number: 20130093085
    Abstract: A semiconductor package is provided, including a laminate substrate with an aperture sized to receive a semiconductor die. Through-holes in the substrate are filled with a thermally conductive adhesive. A first heat spreader is attached to the by the adhesive, and a semiconductor die is positioned in the aperture with a back face in thermal contact with the heat spreader. Wire bonds couple the die to electrical traces on the substrate. A second heat spreader is attached by the adhesive to the substrate over the die, directly opposite the first heat spreader. A portion of the second heat spreader is encapsulated in molding compound. Openings in the second heat spreader admits molding compound to fill the space around the die between the heat spreaders. Heat is transmitted from the die to the first spreader, and thence, via the through-holes and conductive paste, to the second heat spreader.
    Type: Application
    Filed: October 18, 2011
    Publication date: April 18, 2013
    Applicant: STMICROELECTRONICS ASIA PACIFIC PTE LTD.
    Inventor: Lee Hua Alvin Seah
  • Publication number: 20130093086
    Abstract: This disclosure provides a semiconductor package and a method of fabricating the same. The semiconductor package includes an insulating layer; a plurality of traces and connection pads disposed in the insulating layer and protruded from the insulating layer; a plurality of bumps formed on the plurality of traces; a semiconductor chip disposed on the bumps; and an encapsulant formed on the insulating layer to encapsulate the semiconductor chip, the plurality of bumps, traces and connection pads. When the encapsulant is formed, voids can be prevented from being generated in the traces and the connection pads and thus the yield of process is significantly increased.
    Type: Application
    Filed: January 12, 2012
    Publication date: April 18, 2013
    Applicant: SILICONWARE PRECISION INDUSTRIES CO., LTD.
    Inventors: Pang-Chun Lin, Yueh-Ying Tsai, Yong-Liang Chen
  • Publication number: 20130095626
    Abstract: According to one embodiment, a method for manufacturing a semiconductor device, includes: and forming, on an upper face of a silicon substrate, a plurality of concave portions extending in a first direction, performing, in a gas that contains fluorine or a fluoride, plasma processing on the silicon substrate in which the concave portions are formed. The method further includes performing, in a gas that contains hydrogen, thermal processing on the silicon substrate after completion of performing the plasma processing; forming an insulating film on an inner face of the concave portions after completion of performing the thermal processing; and forming a conductive film on the insulating film.
    Type: Application
    Filed: March 20, 2012
    Publication date: April 18, 2013
    Inventor: Toshiyuki SASAKI
  • Publication number: 20130087892
    Abstract: A system and method for providing a post-passivation opening and undercontact metallization is provided. An embodiment comprises an opening through the post-passivation which has a first dimension longer than a second dimension, wherein the first dimension is aligned perpendicular to a chip's direction of coefficient of thermal expansion mismatch. By shaping and aligning the opening through the post-passivation layer in this fashion, the post-passivation layer helps to shield the underlying layers from stresses generated from mismatches of the materials' coefficient of thermal expansion.
    Type: Application
    Filed: October 7, 2011
    Publication date: April 11, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd
    Inventors: Ming-Chih Yew, Wen-Yi Lin, Fu-Jen Li, Po-Yao Lin
  • Publication number: 20130087880
    Abstract: A MEMS logic device comprising agate which pivots on a torsion hinge, two conductive channels on the gate, one on each side of the torsion hinge, source and drain landing pads under the channels, and two body bias elements under the gate, one on each side of the torsion hinge, so that applying a threshold bias between one body bias element and the gate will pivot the gate so that one channel connects the respective source and drain landing pad, and vice versa. An integrated circuit with MEMS logic devices on the dielectric layer, with the source and drain landing pads connected to metal interconnects of the integrated circuit. A process of forming the MEM switch.
    Type: Application
    Filed: October 8, 2012
    Publication date: April 11, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: TEXAS INSTRUMENTS INCORPORATED
  • Publication number: 20130087463
    Abstract: The present invention provides a system and a method for metal deposition in semiconductor processing, the system comprising a plating tool with one or more plating tanks, each containing one of a respective electrolyte solution, one or more replenishment sections each fluidly connected to a respective one of the one or more plating tanks, one or more draining sections each fluidly connected to a respective one of the one or more plating tanks, and a control system adapted to operate the one or more replenishing sections and/or the one or more draining sections so as to maintain a condition of the electrolyte solutions.
    Type: Application
    Filed: October 5, 2011
    Publication date: April 11, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Christian Schroiff, Michael Pietzner, Rico Bohla