Manufacture Of Electrode On Semiconductor Body Using Process Other Than By Epitaxial Growth, Diffusion Of Impurities, Alloying Of Impurity Materials, Or Radiation Bombardment (epo) Patents (Class 257/E21.158)

  • Publication number: 20130087907
    Abstract: Generally, the subject matter disclosed herein relates to sophisticated semiconductor chips that may be less susceptible to the occurrence of white bumps during semiconductor chip packaging operations, such as flip-chip or 3D-chip assembly, and the like. One illustrative semiconductor chip disclosed herein includes, among other things, a bump structure above a first metallization layer of a metallization system of the semiconductor chip, and a metal feature in the first metallization layer, wherein at least a first portion of the metal feature is located closer to a center of the semiconductor chip than any portion of the bump structure, and at least a second portion of the metal feature is positioned below the bump structure.
    Type: Application
    Filed: October 5, 2011
    Publication date: April 11, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Matthias U. Lehr, Holm Geisler, Frank Kuechenmeister
  • Patent number: 8415182
    Abstract: A manufacturing method of a thin film transistor array substrate is provided. In the method, a substrate having a display region and a sensing region is provided. At least a display thin film transistor is formed in the display region, a first sensing electrode is formed in the sensing region, and an inter-layer dielectric layer is disposed on the substrate, covers the display thin film transistor, and exposes the first sensing electrode. A patterned photo sensitive dielectric layer is then formed on the first sensing electrode. A patterned transparent conductive layer is subsequently formed on the substrate, wherein the patterned transparent conductive layer includes a pixel electrode coupled to the corresponding display thin film transistor and includes a second sensing electrode located on the patterned photo sensitive dielectric layer. A manufacturing method of a liquid crystal display panel adopting the aforementioned thin film transistor array substrate is also provided.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: April 9, 2013
    Assignee: Au Optronics Corporation
    Inventors: An-Thung Cho, Chia-Tien Peng, Yuan-Jun Hsu, Ching-Chieh Shih, Chien-Sen Weng, Kun-Chih Lin, Hang-Wei Tseug, Ming-Huang Chuang
  • Publication number: 20130082388
    Abstract: According to one embodiment, a first core pattern is formed in a wiring portion on a process target film and a second core pattern, which is led out from the first core pattern and includes an opening, is formed in a leading portion on the process target film, a sidewall pattern is formed along an outer periphery of the first core pattern and the second core pattern and a sidewall dummy pattern is formed along an inner periphery of the opening of the second core pattern, the first core pattern and the second core pattern are removed, and the process target film is processed to transfer the sidewall pattern and the sidewall dummy pattern.
    Type: Application
    Filed: March 5, 2012
    Publication date: April 4, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Yuya Matsuda
  • Publication number: 20130078804
    Abstract: A method for fabricating an integrated device with reduced plasma damage is disclosed, including providing a substrate, forming a structural layer on the substrate, forming a photoresist layer on the structural layer, and performing an etching process to the structural layer, wherein the photoresist layer is conductive to reduce plasma damage during the etching process.
    Type: Application
    Filed: September 22, 2011
    Publication date: March 28, 2013
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Jeng-Hsing JANG, Yi-Nan CHEN, Hsien-Wen LIU
  • Publication number: 20130075802
    Abstract: A vertical interconnect architecture for a three-dimensional (3D) memory device suitable for low cost, high yield manufacturing is described. Conductive lines (e.g. word lines) for the 3D memory array, and contact pads for vertical connectors used for couple the array to decoding circuitry and the like, are formed as parts of the same patterned level of material. The same material layer can be used to form the contact pads and the conductive access lines by an etch process using a single mask. By forming the contact pads concurrently with the conductive lines, the patterned material of the contact pads can protect underlying circuit elements which could otherwise be damaged during patterning of the conductive lines.
    Type: Application
    Filed: September 22, 2011
    Publication date: March 28, 2013
    Applicant: Macronix International Co., Ltd.
    Inventors: Shih-Hung Chen, Yen-Hao Shih, Hang-Ting Lue
  • Publication number: 20130075726
    Abstract: The semiconductor wafer for a silicon-on-insulator integrated circuit comprises an insulating region located between a first semiconductor substrate intended to receive the integrated circuit and a second semiconductor substrate containing at least one buried layer comprising at least one metal silicide.
    Type: Application
    Filed: September 14, 2012
    Publication date: March 28, 2013
    Applicant: STMICROELECTRONICS (ROUSSET) SAS
    Inventor: Pascal Fornara
  • Patent number: 8405189
    Abstract: An example of a carbon nanotube capacitor may include (i) a carbon nanotube film having carbon nanotubes and voids with dielectric material, (ii) conductive contacts and (iii) a dielectric layer. The carbon nanotube film may switch from a conductive state to a non-conductive state when a voltage is applied by creating an electrical break within the carbon nanotube film and providing a first conductive region and a second conductive region within the carbon nanotube film. The electrical break may separate the first conductive region from the second conductive region. The first and second conductive regions may store charge. An integrated device may include one or more transistors and one or more carbon nanotube capacitors. A method of making a carbon nanotube capacitor is also disclosed.
    Type: Grant
    Filed: November 15, 2010
    Date of Patent: March 26, 2013
    Assignee: Lockheed Martin Corporation
    Inventors: Jonathan W. Ward, Quoc X. Ngo
  • Publication number: 20130069140
    Abstract: According to one embodiment, a method is disclosed for manufacturing a nonvolatile semiconductor memory device. The method can includes forming a semiconductor layer containing an impurity and forming a pattern on the semiconductor layer. The method can include forming first insulating layers in a stripe shape from a surface of the semiconductor layer toward an inside and forming a first insulating film on the semiconductor layer and on the first insulating layers to form a stacked body including electrode layers on the first insulating film. The method can include forming a pair of holes in the stacked body and forming a space portion connected to a lower end of the holes. The method can include forming a memory film on a side wall of the holes. In addition, the method can include forming a channel body layer on a surface of the memory film.
    Type: Application
    Filed: March 16, 2012
    Publication date: March 21, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Daigo ICHINOSE, Hanae ISHIHARA
  • Publication number: 20130070800
    Abstract: A semiconductor laser diode comprises a semiconductor body having an n-region and a p-region laterally spaced apart within the semiconductor body. The laser diode is provided with an active region between the n-region and the p-region having a front end and a back end section, an n-metallisation layer located adjacent the n-region and having a first injector for injecting current into the active region, and a p-metallisation layer opposite to the n-metallisation layer and adjacent the p-region and having a second injector for injecting current into the active region. The thickness and/or width of at least one metallisation layer is chosen so as to control the current injection in a part of the active region near at least one end of the active region compared to the current injection in another part of the active region. The width of the at least one metallisation layer is larger than a width of the active region.
    Type: Application
    Filed: April 6, 2011
    Publication date: March 21, 2013
    Inventors: Hans-Ulrich Pfeiffer, Andrew Cannon Carter, Jörg Troger, Norbert Lichtenstein, Michael Schwarz, Abram Jakubowicz, Boris Sverdlov
  • Publication number: 20130072016
    Abstract: Disclosed herein are various methods of forming conductive contacts with reduced dimensions and various semiconductor devices incorporating such conductive contacts. In one example, one method disclosed herein includes forming a layer of insulating material above a semiconducting substrate, wherein the layer of material has a first thickness, forming a plurality of contact openings in the layer of material having the first thickness and forming an organic material in at least a portion of each of the contact openings. This illustrative method further includes the steps of, after forming the organic material, performing an etching process to reduce the first thickness of the layer of insulating material to a second thickness that is less than the first thickness, after performing the etching process, removing the organic material from the contact openings and forming a conductive contact in each of the contact openings.
    Type: Application
    Filed: September 20, 2011
    Publication date: March 21, 2013
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Kai Frohberg, Dominik Olligs, Daniel Prochnow, Katrin Reiche
  • Publication number: 20130072019
    Abstract: Embodiments of methods for forming a semiconductor device are provided. The method includes forming a metal layer overlying a dielectric material. A thickness of the metal layer is reduced including oxidizing an exposed outer portion of the metal layer to form a metal oxide portion overlying a remaining portion of the metal layer and removing the metal oxide portion.
    Type: Application
    Filed: September 16, 2011
    Publication date: March 21, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Errol T. Ryan
  • Publication number: 20130071998
    Abstract: An electrical fuse device is disclosed. A circuit apparatus can include the fuse device, a first circuit element and a second circuit element. The fuse includes a first contact that has a first electromigration resistance, a second contact that has a second electromigration resistance and a metal line, which is coupled to the first contact and to the second contact, that has a third electromigration resistance that is lower than the second electromigration resistance. The first circuit element is coupled to the first contact and the second circuit element coupled to the second contact. The fuse is configured to conduct a programming current from the first contact to the second contact through the metal line. Further, the programming current causes the metal line to electromigrate away from the second contact to electrically isolate the second circuit element from the first circuit element.
    Type: Application
    Filed: September 16, 2011
    Publication date: March 21, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Baozhen Li, Yan Zun Li, Keith Kwong Hon Wong, Chih-Chao Yang
  • Publication number: 20130069028
    Abstract: Select devices for memory cell applications and methods of forming the same are described herein. As an example, one or more non-ohmic select devices can include at least two tunnel barrier regions formed between a first metal material and a second metal material, and a third metal material formed between each of the respective at least two tunnel barrier regions. The non-ohmic select device is a two terminal select device that supports bi-directional current flow therethrough.
    Type: Application
    Filed: September 16, 2011
    Publication date: March 21, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: David H. Wells, Bhaskar Srinivasan, John K. Zahurak
  • Patent number: 8399317
    Abstract: In one aspect, an apparatus may include a metal gate of a transistor. An etch stop layer may be selectively formed over the metal gate. The etch stop layer may include a metal compound. An insulating layer may be over the etch stop layer. A conductive structure may be included through the insulating layer to the metal gate. Methods of making such transistors are also disclosed.
    Type: Grant
    Filed: October 14, 2011
    Date of Patent: March 19, 2013
    Assignee: Intel Corporation
    Inventors: Andrew Ott, Sean King, Ajay Sharma
  • Patent number: 8399342
    Abstract: A method for fabricating a semiconductor device includes forming a plurality of bodies isolated by trenches by etching a substrate, forming a buried bit line gap-filling a portion of each trench, forming an etch stop layer on an upper surface of the buried bit line; and forming a word line extended in a direction crossing the buried bit line over the etch stop layer.
    Type: Grant
    Filed: April 5, 2011
    Date of Patent: March 19, 2013
    Assignee: Hynix Semiconductor Inc.
    Inventor: Tae-Kyun Kim
  • Publication number: 20130062768
    Abstract: A method for producing a substrate with a copper or a copper-containing coating is disclosed. The method comprises a first step wherein a first precursor, a second precursor and a substrate are provided. The first precursor is a copper complex that contains no fluorine and the second precursor is selected from a ruthenium complex, a nickel complex, a palladium complex or mixtures thereof. In the second step, a layer is deposited at least on partial regions of a surface of the substrate by using the first precursor and the second precursor by means of atomic layer deposition (ALD). The molar ratio of the first precursor:second precursor used for the ALD extends from 90:10 to 99.99:0.01. The obtained layer contains copper and at least one of ruthenium, nickel and palladium. Finally, a reduction is performed step in which a reducing agent acts on the substrate obtained after depositing the copper-containing layer.
    Type: Application
    Filed: September 14, 2011
    Publication date: March 14, 2013
    Applicants: TECHNISCHE UNIVERSITAET CHEMNITZ, FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG E.V.
    Inventors: Thomas WAECHTLER, Stefan SCHULZ, Thomas GESSNER, Steve MUELLER, André TUCHSCHERER, Heinrich LANG
  • Publication number: 20130062742
    Abstract: There is provided a system and method for a spot plated leadframe and an IC bond pad via array design for copper wire. There is provided a semiconductor package comprising a leadframe having a pre-plated finish and a spot plating on said pre-plated finish, a semiconductor die including a bond pad on a top surface thereof, and a copper wire bonded to said spot plating and to said bond pad. Optionally, a novel corner via array design may be provided under the bond pad for improved package performance while maintaining the integrity of the copper wire bond. The semiconductor package may provide several advantages including high MSL ratings, simplified assembly cycles, avoidance of tin whisker issues, and low cost compared to conventional packages using gold wire bonds.
    Type: Application
    Filed: September 12, 2011
    Publication date: March 14, 2013
    Applicant: CONEXANT SYSTEMS, INC.
    Inventors: Robert W. Warren, Nic Rossi
  • Publication number: 20130062756
    Abstract: A substrate structure with compliant bump comprises a substrate, a plurality of bumps, and a metallic layer, wherein the substrate comprises a surface, a trace layer, and a protective layer. The trace layer comprises a plurality of conductive pads, and each of the conductive pads comprises an upper surface. The protective layer comprises a plurality of openings. The bumps are formed on the surface, and each of the bumps comprises a top surface, an inner surface and an outer surface and defines a first body and a second body. The first body is located on the surface. The second body is located on top of the first body. The metallic layer is formed on the top surface, the inner surface, and the upper surface.
    Type: Application
    Filed: September 13, 2011
    Publication date: March 14, 2013
    Applicant: CHIPBOND TECHNOLOGY CORPORATION
    Inventor: Chin-Tang Hsieh
  • Publication number: 20130056884
    Abstract: A semiconductor device includes at least 4 conductive line groups arranged in parallel over one memory cell block and each configured to include conductive lines. First contact pads may be coupled to the respective ends of the conductive lines of two of the 4 conductive line groups in a first direction, and second contact pads may be coupled to the respective ends of the conductive lines of the remaining 2 of the 4 conductive line groups in a second direction opposite to the first direction.
    Type: Application
    Filed: August 14, 2012
    Publication date: March 7, 2013
    Applicant: SK HYNIX INC.
    Inventor: Dae Sung EOM
  • Publication number: 20130056798
    Abstract: As technology scales, the mask cost rises sharply. It was generally believed that three-dimensional mask-programmed read-only memory (3D-MPROM) would become economically un-viable. The present invention discloses a three-dimensional printed memory (3D-P). It is a type of 3D-MPROM and uses shared data-masks to print data. By forming the mask-patterns for a plurality of distinct mass-contents on a same data-mask, the share of the data-mask cost on each mass-content is significantly reduced. For mass publication, the minimum feature size of the 3D-P is preferably less than 45 nm.
    Type: Application
    Filed: August 8, 2012
    Publication date: March 7, 2013
    Applicant: CHENGDU HAICUN IP TECHNOLOGY LLC
    Inventor: Guobiao ZHANG
  • Patent number: 8389395
    Abstract: A method for manufacturing includes the steps of forming a BCB resin region on a semiconductor optical device; processing a surface of the BCB resin region with inductively coupled plasma produced with a high-frequency power supply for supplying ICP power and a high-frequency power supply for supplying bias power, thus forming a silicon oxide film on the surface of the BCB resin region and roughening the surface of the BCB resin region with projections and recesses; and forming an electrode pad on the surface of the BCB resin region in direct contact with the silicon oxide film. The surface roughness of the BCB resin region and the thickness of the silicon oxide film on the surface of the BCB resin region are controlled by adjusting the bias power and the ICP power.
    Type: Grant
    Filed: September 2, 2011
    Date of Patent: March 5, 2013
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Yukihiro Tsuji
  • Publication number: 20130052820
    Abstract: A method of forming conductive pattern is provided. A seeding layer is formed on an underlayer. By using an energy ray, an irradiation treatment is performed on a portion of a surface of the seeding layer. The seeding layer thus includes a plurality of irradiated regions and a plurality of unirradiated regions. A conversion treatment is performed on the irradiated regions of the seeding layer. A selective growth process is performed, so as to form a conductive pattern on each unirradiated region of the seeding layer. The irradiated regions of the seeding layer are removed, so that the conductive patterns are insulated from each other.
    Type: Application
    Filed: August 22, 2011
    Publication date: February 28, 2013
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventors: Kuo-Hui Su, Yi-Nan Chen, Hsien-Wen Liu
  • Publication number: 20130048972
    Abstract: A laminated body includes a lower electrode formed on a substrate and a basic insulating film which is formed above the lower electrode and covers the lower electrode on the substrate, in which the lower electrode has a film thickness reduction section in which the film thickness of the lower electrode in a portion which is not covered by the basic insulating film is smaller than the film thickness of the lower electrode in a portion which is covered by the basic insulating film in the lower electrode.
    Type: Application
    Filed: September 27, 2012
    Publication date: February 28, 2013
    Applicant: Toppan Printing Co., Ltd.
    Inventor: Toppan Printing Co., Ltd.
  • Publication number: 20130048984
    Abstract: A method for patterning a multi-layer film in a semiconductor device is provided. The semiconductor device comprises a substrate and a multi-layer film on the substrate. The multi-layer film comprises N conductive layers and N dielectric layers alternatingly stacked, and 2N contact plugs. The Nth dielectric layer is formed at the top of the multi-layer film. The distances between the centers of each adjacent contact plugs are the same.
    Type: Application
    Filed: August 31, 2011
    Publication date: February 28, 2013
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: Chin-Cheng Yang
  • Publication number: 20130052816
    Abstract: A method of producing a semiconductor transistor involving formation of an ohmic electrode on an active layer composed of a GaN-based semiconductor includes a process of forming a first layer 11 composed of tantalum nitride on an active layer 3 and a second layer 12 composed of Al layered on the first layer 11 and a process of forming ohmic electrodes 9s and 9d in ohmic contact with the active layer 3 by heat treating the first layer 11 and the second layer 12 at a temperature of from 520° C. to 600° C.
    Type: Application
    Filed: March 2, 2011
    Publication date: February 28, 2013
    Applicants: TOHOKU UNIVERISTY, ADVANCED POWER DEVICE RESEARCH ASSOCIATION
    Inventors: Hiroshi Kambayashi, Akinobu Teramoto, Tadahiro Ohmi
  • Publication number: 20130049096
    Abstract: Various embodiments include methods and apparatuses including strings of memory cells formed along levels of semiconductor material. One such apparatus includes a stack comprised of a number of levels of single crystal silicon and a number of levels of dielectric material. Each of the levels of silicon is separated from an adjacent level of silicon by a level of the dielectric material. Strings of memory cells are formed along the levels of silicon. Additional apparatuses and methods are disclosed.
    Type: Application
    Filed: August 31, 2011
    Publication date: February 28, 2013
    Inventor: Hongmei Wang
  • Publication number: 20130052812
    Abstract: A method of manufacturing a semiconductor device, includes a wafer grinding step of, by means of a revolving grinding stone, forming a thinned portion in a wafer while at the same time forming a slope surrounding said thinned portion, wherein during said formation of said slope, said grinding stone is positioned so that there is always a space between said slope and the facing side of said grinding stone, wherein said thinned portion is thinner than a peripheral portion of said wafer, and wherein said slope extends along and defines an inner circumferential side of said peripheral portion and forms an angle of 75° or more but less than 90° with respect to a main surface of said wafer. The method of manufacturing a semiconductor device further includes a step of forming a semiconductor device in said thinned portion.
    Type: Application
    Filed: April 23, 2012
    Publication date: February 28, 2013
    Applicant: Mitsubishi Electric Corporation
    Inventors: Kazunari Nakata, Tamio Matsumura
  • Publication number: 20130049852
    Abstract: A semiconductor device comprising a first inverter circuit including a first PMOS transistor and a first NMOS transistor, a drain electrode of the first PMOS transistor coupled to a drain electrode of the first NMOS transistor, and a second inverter circuit including a second PMOS transistor and a second NMOS transistor, a drain electrode of the second PMOS transistor coupled to a drain electrode of the second NMOS transistor. A first output voltage pad coupled to gate electrodes of the first and second PMOS and NMOS transistors, and between the drain electrode of the first PMOS transistor and the drain electrode of the NMOS transistor to self-bias the first inverter circuit. A second output voltage pad coupled between the drain electrode of the second PMOS transistor and the drain electrode of the second NMOS transistor.
    Type: Application
    Filed: August 31, 2011
    Publication date: February 28, 2013
    Inventors: COLIN C. MCANDREW, Michael J. Zunino
  • Publication number: 20130048982
    Abstract: A passive bond pad condition sense structure may be configured to be electrically stimulated and tested for detecting an anomalous or altered electrical characteristic caused by stress or aging of the bond pad capacitively coupled to it. The related bond pad condition testing or monitoring system may include relatively simple stimulating and sensing circuits that may be wholly embedded in the integrated circuit device.
    Type: Application
    Filed: August 30, 2012
    Publication date: February 28, 2013
    Applicant: STMicroelectronics S.r.I.
    Inventors: Davide Giuseppe Patti, Manuela Larosa
  • Publication number: 20130048992
    Abstract: A transistor includes: a control electrode; an active layer facing the control electrode; a first electrode and a second electrode electrically connected to the active layer; and an insulating layer provided between the control electrode and the active layer, the insulating layer containing diallyl isophthalate resin.
    Type: Application
    Filed: August 14, 2012
    Publication date: February 28, 2013
    Applicant: Sony Corporation
    Inventors: Yui Ishii, Toshio Fukuda
  • Publication number: 20130049127
    Abstract: A device includes a p-type metal-oxide-semiconductor (PMOS) device and an n-type metal-oxide-semiconductor (NMOS) device at a front surface of a semiconductor substrate. A first dielectric layer is disposed on a backside of the semiconductor substrate. The first dielectric layer applies a first stress of a first stress type to the semiconductor substrate, wherein the first dielectric layer is overlying the semiconductor substrate and overlapping a first one of the PMOS device and the NMOS device, and is not overlapping a second one of the PMOS device and the NMOS device. A second dielectric layer is disposed on the backside of the semiconductor substrate. The second dielectric layer applies a second stress to the semiconductor substrate, wherein the second stress is of a second stress type opposite to the first stress type. The second dielectric layer overlaps a second one of the PMOS device and the NMOS device.
    Type: Application
    Filed: August 24, 2011
    Publication date: February 28, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Fa Chen, I-Ching Lin
  • Publication number: 20130045594
    Abstract: A manufacturing method for a semiconductor device having a metal gate includes providing a substrate having at least a first semiconductor device formed thereon, forming a first gate trench in the first semiconductor device, forming a first work function metal layer in the first gate trench, and performing a decoupled plasma oxidation to the first work function metal layer.
    Type: Application
    Filed: August 18, 2011
    Publication date: February 21, 2013
    Inventors: Yu-Ren Wang, Te-Lin Sun, Szu-Hao Lai, Po-Chun Chen, Chih-Hsun Lin, Che-Nan Tsai, Chun-Ling Lin, Chiu-Hsien Yeh
  • Publication number: 20130044455
    Abstract: The present disclosure involves a semiconductor device. The semiconductor device includes a substrate. The semiconductor device includes an electronic device positioned over the substrate. The electronic device includes an opening. The semiconductor device includes a shielding device positioned over the substrate and surrounding the electronic device. The shielding device includes a plurality of elongate members. A subset of the plurality of elongate members extend through the opening of the electronic device. At least one of the electronic device and the shielding device is formed in an interconnect structure positioned over the substrate.
    Type: Application
    Filed: August 18, 2011
    Publication date: February 21, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Hsiu-Ying Cho
  • Publication number: 20130043556
    Abstract: A size-filtered metal interconnect structure allows formation of metal structures having different compositions. Trenches having different widths are formed in a dielectric material layer. A blocking material layer is conformally deposited to completely fill trenches having a width less than a threshold width. An isotropic etch is performed to remove the blocking material layer in wide trenches, i.e., trenches having a width greater than the threshold width, while narrow trenches, i.e., trenches having a width less than the threshold width, remain plugged with remaining portions of the blocking material layer. The wide trenches are filled and planarized with a first metal to form first metal structures having a width greater than the critical width. The remaining portions of the blocking material layer are removed to form cavities, which are filled with a second metal to form second metal structures having a width less than the critical width.
    Type: Application
    Filed: August 17, 2011
    Publication date: February 21, 2013
    Applicant: International Business Machines Corporation
    Inventors: David V. Horak, Charles W. Koburger, III, Shom Ponoth, Chih-Chao Yang
  • Publication number: 20130043559
    Abstract: A method includes removing an exposed portion of a first portion of a substrate to define a first trench portion partially defined by the first portion of the substrate and expose a second portion of the substrate, the first portion of the substrate disposed on the second portion of the substrate, the second portion of the substrate including an N+ doped silicon material, and removing a portion the exposed second portion of the substrate with an isotropic etching process to define a second trench portion.
    Type: Application
    Filed: August 17, 2011
    Publication date: February 21, 2013
    Applicant: International Business Machines Corporation
    Inventors: JUNEDONG LEE, Xi Li, Paul C. Parries, Richard Wise, Hongwen Yan
  • Patent number: 8377832
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a P-type region on a surface of a semiconductor substrate, forming at least one Al electrode on the P-type region, forming an interlayer film in contact with the at least one Al electrode, the interlayer film being of a material which is less reactive with Si than is Al, and forming a semi-insulating film on the interlayer film, the semi-insulating film containing Si.
    Type: Grant
    Filed: March 2, 2009
    Date of Patent: February 19, 2013
    Assignee: Mitsubishi Electric Corporation
    Inventors: Kazutoyo Takano, Junichi Murakami, Tadaharu Minato
  • Patent number: 8377823
    Abstract: A method of forming a semiconductor device includes forming a trench on a porous insulating film, placing a chemical material including a structure comprising —Si—O— including vinyl group on a surface of the porous insulating film or in the porous insulating film, and performing polymerization of the chemical material to provide a dielectric film having a density higher than that of porous insulating film on the surface of the trench. The structure may be a structure defined by a formula 1.
    Type: Grant
    Filed: February 9, 2011
    Date of Patent: February 19, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Masayoshi Tagami, Fuminori Ito
  • Publication number: 20130037948
    Abstract: Semiconductor devices are described that have a through-substrate via formed therein. In one or more implementations, the semiconductor devices include a top wafer and a bottom wafer bonded together with a patterned adhesive material. The top wafer and the bottom wafer include one or more integrated circuits formed therein. The integrated circuits are connected to one or more conductive layers deployed over the surfaces of the top and bottom wafers. A via is formed through the top wafer and the patterned adhesive material so that an electrical interconnection can be formed between the integrated circuits formed in the top wafer and the integrated circuits formed in the bottom wafer. The via includes a conductive material that furnishes the electrical interconnection between the top and bottom wafers.
    Type: Application
    Filed: August 9, 2011
    Publication date: February 14, 2013
    Applicant: Maxim Integrated Products, Inc.
    Inventors: Arkadii V. Samoilov, Tyler Parent, Xuejun Ying
  • Publication number: 20130040452
    Abstract: Semiconductor devices and methods of forming semiconductor devices are provided in which a plurality of patterns are simultaneously formed to have different widths and the pattern densities of some regions are increased using double patterning.
    Type: Application
    Filed: October 16, 2012
    Publication date: February 14, 2013
    Inventor: Samsung Electronics Co., Ltd.
  • Publication number: 20130040454
    Abstract: A method for modifying the chemistry or microstructure of silicon-based technology via an annealing process is provided. The method includes depositing a reactive material layer within a selected proximity to an interconnect, igniting the reactive material layer, and annealing the interconnect via heat transferred from the ignited reactive material layer. The method can also be implemented in connection with a silicide/silicon interface as well as a zone of silicon-based technology.
    Type: Application
    Filed: August 8, 2011
    Publication date: February 14, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cyril Cabral, JR., Gregory M. Fritz, Christian Lavoie, Conal E. Murray, Kenneth P. Rodbell
  • Publication number: 20130037071
    Abstract: A thermoelectric module which has at least one thermoelectric element for converting energy between thermal energy and electrical energy. The at least one thermoelectric element has a first surface and a second surface opposite the first surface. The thermoelectric module further has a first electrode, the first electrode having at least a first region which is arranged directly on the first surface and a second electrode, the second electrode having at least a second region which is arranged directly on the second surface. At least one of the first region and the second region has a metal alloy which exhibits an Invar effect.
    Type: Application
    Filed: August 10, 2012
    Publication date: February 14, 2013
    Applicant: Vacuumschmelze GmbH & Co, KG
    Inventors: Joachim Gerster, Alberto Bracchi, Michael Müller
  • Publication number: 20130037864
    Abstract: Cross-coupling between a gate conductor and an active region of a semiconductor substrate is provided by forming a gate dielectric layer on the semiconductor substrate and lithographically patterning the gate dielectric layer to form opening therein over a portion of the active region at which electrical contact with the gate conductor is desired. After implanting electrical dopants, a gate conductor layer is deposited and patterned. A remaining portion of the gate conductor layer includes an integral conductor structure, which includes a first portion overlying a gate dielectric over an active region and a second portion contacting the semiconductor material of the same active region or a different active region. The gate dielectric layer can be deposited within gate cavities in planarization dielectric material layer in a replacement gate scheme, or can be deposited on planar surfaces of active regions and/or shallow trench isolation structures in a gate first processing scheme.
    Type: Application
    Filed: August 10, 2011
    Publication date: February 14, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Viraj Y. Sardesai, Robert C. Wong
  • Publication number: 20130040457
    Abstract: A structure includes a semiconductor device formed in a substrate; an insulator adjacent to the semiconductor device; an electrical contact electrically coupled to the semiconductor device, wherein the electrical contact includes tungsten; and an electrical connector coupled to the electrical contact, wherein the electrical connector includes aluminum. A surface of the insulator and a surface of the electrical contact form a substantially even surface.
    Type: Application
    Filed: October 17, 2012
    Publication date: February 14, 2013
    Applicant: VISHAY-SILICONIX
    Inventor: VISHAY-SILICONIX
  • Publication number: 20130040459
    Abstract: In a substrate wiring method, copper is embedded all the way to the lowest parts of a wiring pattern formed on a substrate. The method is used to wire a substrate in a processing chamber kept in a vacuum state, the substrate having a wiring pattern formed thereon. The method includes a preprocessing step in which the wiring pattern on the substrate is cleaned using a desired cleaning gas and an embedding step in which, after the preprocessing step, metal nanoparticles are embedded in the wiring pattern using a clustered metal gas.
    Type: Application
    Filed: February 23, 2011
    Publication date: February 14, 2013
    Applicants: Iwatani Corporation, Tokyo Electron Limited
    Inventors: Satohiko Hoshino, Hidefumi Matsui, Masaki Narushima
  • Publication number: 20130040451
    Abstract: A process for the production of a permanent, electrically conductive connection between a first metal surface of a first substrate and a second metal surface of a second substrate, wherein a permanent, electrically conductive connection is produced, at least primarily, by substitution diffusion between metal ions and/or metal atoms of the two metal surfaces.
    Type: Application
    Filed: February 23, 2011
    Publication date: February 14, 2013
    Inventors: Viorel Dragoi, Markus Wimplinger
  • Patent number: 8372749
    Abstract: A printing plate and method for fabricating the same is disclosed. A metal layer is first formed on a glass substrate. The metal layer is then patterned in a predetermined shape. The glass substrate is next etched to a predetermined depth using the patterned metal layer as a mask and the metal layer removed. If necessary, additional metal layers have the same or different patterns may be formed on the glass substrate and the glass substrate etched after each metal layer is formed thereon until a desired etching depth in the glass is achieved.
    Type: Grant
    Filed: March 9, 2011
    Date of Patent: February 12, 2013
    Assignee: LG Display Co., Ltd.
    Inventor: Chul Ho Kim
  • Publication number: 20130034956
    Abstract: A method of forming wafer-level chip scale packaging solder bumps on a wafer substrate involves cleaning the surface of the solder bumps using a laser to remove any residual molding compound from the surface of the solder bumps after the solder bumps are reflowed and a liquid molding compound is applied and cured.
    Type: Application
    Filed: August 5, 2011
    Publication date: February 7, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yi-Yang LEI, Hung-Jui KUO, Chung-Shi LIU, Mirng-Ji LII, Chen-Hua YU
  • Publication number: 20130032955
    Abstract: A system and method for a low-k dielectric layer are provided. A preferred embodiment comprises forming a matrix and forming a porogen within the matrix. The porogen comprises an organic ring structure with fewer than fifteen carbons and a large percentage of single bonds. Additionally, the porogen may have a viscosity greater than 1.3 and a Reynolds numbers less than 0.5.
    Type: Application
    Filed: August 5, 2011
    Publication date: February 7, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Joung-Wei Liou, Hui-Chun Yang, Yu-Yun Peng, Keng-Chu Lin
  • Patent number: 8367463
    Abstract: Nonvolatile memory elements are provided that have resistive switching metal oxides. The nonvolatile memory elements may be formed from resistive-switching metal oxide layers. Metal oxide layers may be formed using sputter deposition at relatively low sputtering powers, relatively low duty cycles, and relatively high sputtering gas pressures. Dopants may be incorporated into a base oxide layer at an atomic concentration that is less than the solubility limit of the dopant in the base oxide. At least one oxidation state of the metal in the base oxide is preferably different than at least one oxidation sate of the dopant. The ionic radius of the dopant and the ionic radius of the metal may be selected to be close to each other. Annealing and oxidation operations may be performed on the resistive switching metal oxides. Bistable metal oxides with relatively large resistivities and large high-state-to-low state resistivity ratios may be produced.
    Type: Grant
    Filed: May 19, 2011
    Date of Patent: February 5, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Pragati Kumar, Sandra G. Malhotra, Sean Barstow, Tony Chiang
  • Patent number: 8368054
    Abstract: In an organic light emitting diode display including a first pixel and a second pixel that are associated with respective different colors, each of the first and second pixels being for displaying its associated color, each of the first and second pixels includes: a first electrode; a second electrode facing the first electrode; and a light emitting member formed between the first electrode and the second electrode; wherein the light emitting member of the first pixel includes: at least two light-emitting elements for emitting light of the color associated with the first pixel; and a charge generation layer between the at least two light-emitting elements; and wherein the second pixel has fewer light-emitting elements than the first pixel.
    Type: Grant
    Filed: October 13, 2008
    Date of Patent: February 5, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Hyo-Seok Kim, Kyong-Tae Park