Having Insulated Gate (e.g., Igfet, Misfet, Mosfet, Etc.) Patents (Class 438/197)
  • Patent number: 9837410
    Abstract: Semiconductor devices are fabricated with vertical field effect transistor (FET) devices having uniform structural profiles. Semiconductor fabrication methods for vertical FET devices implement a process flow to fabricate dummy fins within isolation regions to enable the formation of vertical FET devices with uniform structural profiles within device regions. Sacrificial semiconductor fins are formed in the isolation regions concurrently with semiconductor fins in the device regions, to minimize/eliminate micro-loading effects from an etch process used for fin patterning and, thereby, form uniform profile semiconductor fins. The sacrificial semiconductor fins within the isolation regions also serve to minimize/eliminate non-uniform topography and micro-loading effects when planarizing and recessing conductive gate layers and, thereby form conductive gate structures for vertical FET devices with uniform gate lengths in the device regions.
    Type: Grant
    Filed: June 28, 2017
    Date of Patent: December 5, 2017
    Assignee: International Business Machines Corporation
    Inventor: Kangguo Cheng
  • Patent number: 9828667
    Abstract: A method for making a SnO thin film includes steps of: providing a substrate and a tin oxide sputtering target; spacing the substrate and the tin oxide sputtering target from each other; and sputtering the SnO thin film on the substrate by using a magnetron sputtering method. The tin oxide sputtering target comprises uniformly mixed elemental Sn and SnO2. An atomic ratio of Sn atoms and O atoms in the tin oxide sputtering target satisfies 1:2<Sn:O?2:1.
    Type: Grant
    Filed: September 1, 2015
    Date of Patent: November 28, 2017
    Assignees: Tsinghua University, HON HAI PRECISION INDUSTRY CO., LTD.
    Inventors: Da-Ming Zhuang, Ming Zhao, Li Guo, Ming-Jie Cao, Liang-Qi Ouyang, Leng Zhang
  • Patent number: 9818651
    Abstract: At least one method, apparatus and system disclosed herein for forming a finFET device having a pass-through structure. A first gate structure and a second gate structure are formed on a semiconductor wafer. A first active area is formed on one end of the first and second gate structures. A second active area is formed on the other end of the first and second gate structures. A trench silicide (TS) structure self-aligned to the first and second gate structures is formed. The TS structure is configured to operatively couple the first active area to the second active area.
    Type: Grant
    Filed: March 11, 2016
    Date of Patent: November 14, 2017
    Assignee: GlobalFoundries Inc.
    Inventors: Guillaume Bouche, Tuhin Guha Neogi, Andy Chi-Hung Wei, Jia Zeng, Jongwook Kye, Jason Eugene Stephens, Irene Yuh-Ling Lin, Sudharshanan Raghunathan, Lei Yuan
  • Patent number: 9786769
    Abstract: Described is an apparatus forming complementary tunneling field effect transistors (TFETs) using oxide and/or organic semiconductor material. One type of TFET comprises: a substrate; a doped first region, formed above the substrate, having p-type material selected from a group consisting of Group III-V, IV-IV, and IV of a periodic table; a doped second region, formed above the substrate, having transparent oxide n-type semiconductor material; and a gate stack coupled to the doped first and second regions. Another type of TFET comprises: a substrate; a doped first region, formed above the substrate, having p-type organic semiconductor material; a doped second region, formed above the substrate, having n-type oxide semiconductor material; and a gate stack coupled to the doped source and drain regions. In another example, TFET is made using organic only semiconductor materials for active regions.
    Type: Grant
    Filed: December 26, 2013
    Date of Patent: October 10, 2017
    Assignee: Intel Corporation
    Inventor: Aleksandar Aleksov
  • Patent number: 9773554
    Abstract: An integrated circuit comprises a memory array including diffusion bit lines having composite impurity profiles in a substrate. A plurality of word lines overlies channel regions in the substrate between the diffusion bit lines, with data storage structures such as floating gate structures or dielectric charge trapping structures, at the cross-points. The composite impurity diffusion bit lines provide source/drain terminals on opposing sides of the channel regions that have high conductivity, good depth and steep doping profiles, even with channel region critical dimensions below 50 nanometers.
    Type: Grant
    Filed: April 1, 2014
    Date of Patent: September 26, 2017
    Assignee: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Chih-Wei Lee, Tien-Fan Ou, Jyun-Siang Huang, Chien-Hung Liu
  • Patent number: 9755056
    Abstract: A method of forming a semiconductor device includes following steps. Firstly, a substrate having a transistor is provided, where the transistor includes a source/drain region. A dielectric layer is formed on the substrate, and a contact plug is formed in the dielectric layer to electrically connect the source/drain region. Next, a mask layer is formed on the dielectric layer, where the mask layer includes a first layer and a second layer stacked thereon. After this a slot-cut pattern is formed on the second layer of the mask layer, and a contact slot pattern is formed on the first layer of the mask layer. Finally, the second layer is removed and a contact opening is formed by using the contact slot pattern on the first layer.
    Type: Grant
    Filed: January 28, 2015
    Date of Patent: September 5, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Chih-Kai Hsu, Chao-Hung Lin, Yu-Hsiang Hung, Ssu-I Fu, Ying-Tsung Chen, Shih-Hung Tsai, Jyh-Shyang Jenq
  • Patent number: 9748336
    Abstract: A semiconductor device includes a semiconductor substrate having a channel region interposed between a first active region and a second active region, and a gate structure formed on the channel region. A first dual-layer source/drain region is on the first active region and a second dual-layer source/drain region is on the second active region. The first and second dual-layer source/drain regions include stacked layers formed of different semiconductor materials. A first extension region is embedded in the first active region and a second extension region is embedded in the second active region.
    Type: Grant
    Filed: November 23, 2015
    Date of Patent: August 29, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Robert H. Dennard, Zhen Zhang
  • Patent number: 9735311
    Abstract: A method for producing a semiconductor light receiving device includes the steps of growing a stacked semiconductor layer including a light-receiving layer having a super-lattice structure, the super-lattice structure including first and second semiconductor layers stacked alternately; forming a mesa structure by etching the stacked semiconductor layer, the mesa structure having a side surface exposed in an atmosphere; forming a deposited layer on the side surface of the mesa structure by supplying a silicon raw material, the deposited layer containing silicon generated from the silicon raw material; and, after the step of forming the deposited layer, forming a passivation film on the side surface of the mesa structure. The first semiconductor layer contains gallium as a constituent element. In the step of forming the deposited layer, the silicon raw material is supplied without supplying an oxygen raw material containing an oxygen element.
    Type: Grant
    Filed: December 8, 2015
    Date of Patent: August 15, 2017
    Assignee: SUMITOMO ELECTRIC INDUSTRIES, LTD.
    Inventor: Yukihiro Tsuji
  • Patent number: 9728463
    Abstract: Methods of manufacturing a semiconductor device are provided. The methods may include forming a fin-type active region protruding from a substrate and forming a gate insulating film covering a top surface and both sidewalls of the fin-type active region. The gate insulating film may include a high-k dielectric film. The methods may also include forming a metal-containing layer on the gate insulating film, forming a silicon capping layer containing hydrogen atoms on the metal-containing layer, removing a portion of the hydrogen atoms contained in the silicon capping layer, removing the silicon capping layer and at least a portion of the metal-containing layer, and forming a gate electrode on the gate insulating film. The gate electrode may cover the top surface and the both sidewalls of the fin-type active region.
    Type: Grant
    Filed: July 13, 2016
    Date of Patent: August 8, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ha-jin Lim, Gi-gwan Park, Sang-yub Ie, Jong-han Lee, Jeong-hyuk Yim, Hye-ri Hong
  • Patent number: 9711645
    Abstract: Embodiments are directed to forming a structure comprising at least one fin, a gate, and a spacer, applying an annealing process to the structure to create a gap between the at least one fin and the spacer, and growing an epitaxial semiconductor layer in the gap between the spacer and the at least one fin.
    Type: Grant
    Filed: December 26, 2013
    Date of Patent: July 18, 2017
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Veeraraghavan S. Basker, Zuoguang Liu, Tenko Yamashita, Chun-chen Yeh
  • Patent number: 9698211
    Abstract: An integrated circuit containing CMOS gates and a counterdoped polysilicon gate material resistor which has a body region that is implanted concurrently with the NSD layers of the NMOS transistors of the CMOS gates and concurrently with the PSD layers of the PMOS transistors of the CMOS gates, and has a resistor silicide block layer over the body region which is formed of separate material from the sidewall spacers on the CMOS gates. A process of forming an integrated circuit containing CMOS gates and a counterdoped polysilicon gate material resistor which implants the body region of the resistor concurrently with the NSD layers of the NMOS transistors of the CMOS gates and concurrently with the PSD layers of the PMOS transistors of the CMOS gates, and forms a resistor silicide block layer over the body region of separate material from the sidewall spacers on the CMOS gates.
    Type: Grant
    Filed: May 6, 2016
    Date of Patent: July 4, 2017
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Rajni J. Aggarwal, Jau-Yuann Yang
  • Patent number: 9691901
    Abstract: A semiconductor device includes a substrate, a gate structure, a sidewall spacer, and an epitaxial layer. The gate structure is disposed on the substrate, and the substrate has at least one recess disposed adjacent to the gate structure. The sidewall spacer is disposed on at least two sides of the gate structure. The sidewall spacer includes a first spacer layer and a second spacer layer, and the first spacer layer is disposed between the gate structure and the second spacer layer. The epitaxial layer is disposed in the recess, and the recess is a circular shaped recess. A distance between an upmost part of the recess and the gate structure is less than a width of the sidewall spacer.
    Type: Grant
    Filed: October 2, 2015
    Date of Patent: June 27, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Wen-Jiun Shen, Chia-Jong Liu, Chung-Fu Chang, Yen-Liang Wu, Man-Ling Lu, I-Fan Chang, Yi-Wei Chen
  • Patent number: 9691894
    Abstract: A transistor includes source region and drain regions, a channel region, a drift region, a gate, a dummy gate, a gate dielectric layer and an interconnection line. The source and drain regions of a first conductivity type are in a substrate. The channel region of a second conductivity type is in the substrate and surrounds the source region. The drift region of the first conductivity type is beneath the drain region and extends toward the channel region. The gate is over the substrate and overlapped with the channel region and the drift region. The dummy gate is over the drift region and laterally adjacent to the gate. The gate dielectric layer is between the gate and the substrate and between the dummy gate and the drift region. The interconnection line is electrically connected to the dummy gate and configured to provide a voltage potential thereto.
    Type: Grant
    Filed: May 2, 2016
    Date of Patent: June 27, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Jhong-Sheng Wang, Jiaw-Ren Shih
  • Patent number: 9679988
    Abstract: The present disclosure provides an integrated circuit. The integrated circuit includes a semiconductor substrate; and a passive polysilicon device disposed over the semiconductor substrate. The passive polysilicon device further includes a polysilicon feature; and a plurality of electrodes embedded in the polysilicon feature.
    Type: Grant
    Filed: November 17, 2014
    Date of Patent: June 13, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Harry-Hak-Lay Chuang, Kong-Beng Thei, Sheng-Chen Chung, Chiung-Han Yeh, Lee-Wee Teo, Yu-Ying Hsu, Bao-Ru Young
  • Patent number: 9653581
    Abstract: A semiconductor device is provided. The semiconductor device includes a channel region disposed between a source region and a drain region, a gate structure over the channel region, an interlayer dielectric (ILD) layer proximate the gate structure, an ILD stress layer proximate the top portion of gate structure and over the ILD layer. The gate structure includes a first sidewall, a second sidewall and a top portion. A first stress memorization region is also provided. The first stress memorization region is proximate the top portion of the gate structure. A method of making a semiconductor device is also provided.
    Type: Grant
    Filed: January 26, 2016
    Date of Patent: May 16, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
    Inventors: Wen-Tai Lu, Chun-Feng Nieh, Hou-Yu Chen, Yu-Chang Lin
  • Patent number: 9647113
    Abstract: A semiconductor device fabrication process includes forming a fin and a plurality of gates upon a semiconductor substrate, forming sacrificial spacers upon opposing gate sidewalls, forming a mask upon an upper surface of the fin between neighboring gates, removing the sacrificial spacers, recessing a plurality of regions of the fin to create a dummy fin and fin segments, removing the mask, and epitaxially merging the dummy fin and fin segments. The fins may be partially recessed prior to forming the sacrificial spacers. The device may include the substrate, gates, fin segments each associated with a particular gate, the dummy fin between a fin segment pair separated by the wider pitch, and merged epitaxy connecting the dummy fin and the fin segment pair. The dummy fin may serve as a filler between the fin segment pair and may add epitaxial growth planes to allow for epitaxial merging within the wider pitch.
    Type: Grant
    Filed: March 5, 2014
    Date of Patent: May 9, 2017
    Assignee: International Business Machines Corporation
    Inventors: Kangguo Cheng, Pouya Hashemi, Ali Khakifirooz, Alexander Reznicek, Charan V. V. S. Surisetty
  • Patent number: 9640402
    Abstract: Methods for forming a gate structure of a circuit structure are provide. The methods for forming the gate structure may include: forming a first gate pattern in a gate mask layer, the forming including a first etching of rounded corner portions of the first gate pattern; forming a second gate pattern in the gate mask layer, the second gate pattern at least partially overlapping the first gate pattern, the forming including a second etching of rounded corner portions of the second gate pattern; and, etching the gate mask layer using the first gate pattern and second gate pattern to form the gate structure.
    Type: Grant
    Filed: February 22, 2016
    Date of Patent: May 2, 2017
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Xintuo Dai, Jiong Li
  • Patent number: 9631272
    Abstract: Methods of forming metal carbide films are provided. In some embodiments, a substrate is exposed to alternating pulses of a transition metal species and an aluminum hydrocarbon compound, such as TMA, DMAH, or TEA. The aluminum hydrocarbon compound is selected to achieve the desired properties of the metal carbide film, such as aluminum concentration, resistivity, adhesion and oxidation resistance. In some embodiments, the methods are used to form a metal carbide layer that determines the work function of a control gate in a flash memory.
    Type: Grant
    Filed: November 1, 2013
    Date of Patent: April 25, 2017
    Assignee: ASM AMERICA, INC.
    Inventors: Dong Li, Steven Marcus, Suvi P. Haukka, Wei-Min Li
  • Patent number: 9627520
    Abstract: A semiconductor component is disclosed. One embodiment includes a semiconductor body including a first semiconductor layer having at least one active component zone, a cell array with a plurality of trenches, and at least one cell array edge zone. The cell array edge zone is only arranged in an edge region of the cell array, adjoining at least one trench of the cell array, and being at least partially arranged below the at least one trench in the cell array.
    Type: Grant
    Filed: January 28, 2016
    Date of Patent: April 18, 2017
    Assignee: Infineon Technologies Austria AG
    Inventors: Franz Hirler, Ralf Siemieniec, Christian Geissler, Oliver Blank, Maximilian Roesch
  • Patent number: 9620583
    Abstract: A power semiconductor device is disclosed. The power semiconductor device includes a source region in a body region, a gate trench adjacent to the source region, and a source trench electrically coupled to the source region. The source trench includes a source trench conductive filler surrounded by a source trench dielectric liner, and extends into a drift region. The power semiconductor device includes a source trench implant below the source trench and a drain region below the drift region, where the source trench implant has a conductivity type opposite that of the drift region. The power semiconductor device may also include a termination trench adjacent to the source trench, where the termination trench includes a termination trench conductive filler surrounded by a termination trench dielectric liner. The power semiconductor device may also include a termination trench implant below the termination trench.
    Type: Grant
    Filed: September 16, 2015
    Date of Patent: April 11, 2017
    Assignee: Infineon Technologies Americas Corp.
    Inventors: Kapil Kelkar, Timothy D. Henson, Ling Ma, Mary Bigglestone, Adam Amali, Hugo Burke, Robert Haase
  • Patent number: 9620409
    Abstract: A method of manufacturing a semiconductor device includes processes of forming a gate electrode, a source electrode, and a drain electrode on a nitride semiconductor layer, forming an insulating film including, on a surface thereof, a step that covers the gate electrode and reflects a shape of the gate electrode, and a flat portion, forming a mask on the insulating film, forming an opening in the mask, the opening including a shape in which a side surface of the step is located on an inner side of the opening and an upper surface end portion of the gate electrode is located on an outer side of the opening, and having an overhang shape extending in a depth direction, and forming a field plate extending from a side surface of the step to the flat portion using the mask.
    Type: Grant
    Filed: March 30, 2015
    Date of Patent: April 11, 2017
    Assignee: Sumitomo Electric Device Innovations, Inc.
    Inventor: Ken Kikuchi
  • Patent number: 9608083
    Abstract: A semiconductor device includes a first semiconductor layer formed over a substrate, a second semiconductor layer formed over the first semiconductor layer, a source electrode and a drain electrode formed over the second semiconductor layer, an insulating film formed over the second semiconductor layer, a gate electrode formed over the insulating film, and a protection film covering the insulating film, the protection film being formed by thermal CVD, thermal ALD, or vacuum vapor deposition.
    Type: Grant
    Filed: June 9, 2015
    Date of Patent: March 28, 2017
    Assignee: FUJITSU LIMITED
    Inventors: Shirou Ozaki, Masahito Kanamura, Norikazu Nakamura, Toyoo Miyajima, Masayuki Takeda, Keiji Watanabe, Toshihide Kikkawa, Kenji Imanishi, Toshihiro Ohki, Tadahiro Imada
  • Patent number: 9601622
    Abstract: A semiconductor device including a channel region formed in a semiconductor substrate; a source region formed on one side of the channel region; a drain region formed on the other side of the channel region; a gate electrode formed on the channel region with a gate insulating film therebetween; and a stress-introducing layer that applies stress to the channel region, the semiconductor device having a stress distribution in which source region-side and drain region-side peaks are positioned between a pn junction boundary of the channel region and the source region and a pn junction boundary of the channel region and the drain region.
    Type: Grant
    Filed: April 5, 2016
    Date of Patent: March 21, 2017
    Assignee: SONY CORPORATION
    Inventors: Satoru Mayuzumi, Hitoshi Wakabayashi
  • Patent number: 9601385
    Abstract: A method of forming a semiconductor device includes forming on a substrate mandrels made from a semiconductor material. A semiconductor material having a lattice constant that is different than the mandrel semiconductor material is deposited onto sidewalls of the mandrels to form strained semiconductor layers. The mandrels are at least partially removed to form free-standing or partially-supported fins that include the strained semiconductor layers. The strained semiconductor layers may include tensile silicon or compressive silicon germanium, which can be used to form a dual strained channel semiconductor device.
    Type: Grant
    Filed: January 27, 2016
    Date of Patent: March 21, 2017
    Assignee: International Business Machines Corporation
    Inventor: Effendi Leobandung
  • Patent number: 9595614
    Abstract: An embodiment is a structure comprising a substrate, a high energy bandgap material, and a high carrier mobility material. The substrate comprises a first isolation region and a second isolation region. Each of first and second isolation regions extends below a first surface of the substrate between the first and second isolation regions. The high energy bandgap material is over the first surface of the substrate and is disposed between the first and second isolation regions. The high carrier mobility material is over the high energy bandgap material. The high carrier mobility material extends higher than respective top surfaces of the first and second isolation regions to form a fin.
    Type: Grant
    Filed: January 16, 2015
    Date of Patent: March 14, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Cheng-Hsien Wu, Chih-Hsin Ko, Clement Hsingjen Wann
  • Patent number: 9595589
    Abstract: The present disclosure relates to a transistor device. In some embodiments, the transistor device has an epitaxial layer disposed over a substrate. The epitaxial layer is arranged between a source region and a drain region separated along a first direction. Isolation structures are arranged on opposite sides of the epitaxial layer along a second direction, perpendicular to the first direction. A gate dielectric layer is disposed over the epitaxial layer, and a conductive gate electrode is disposed over the gate dielectric layer. The epitaxial layer overlying the substrate improves the surface roughness of the substrate, thereby improving transistor device performance.
    Type: Grant
    Filed: December 28, 2015
    Date of Patent: March 14, 2017
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yu-Hung Cheng, Cheng-Ta Wu, Yeur-Luen Tu, Chia-Shiung Tsai, Ru-Liang Lee, Tung-I Lin, Wei-Li Chen
  • Patent number: 9590043
    Abstract: A semiconductor device includes a semiconductor substrate, and a P-well and an N-type drift region disposed in the semiconductor substrate. The P-well includes a lower well region and an upper well region disposed above the lower well region. The lower well region includes a first surface that is near the N-type drift region, and the upper well region includes a second surface that is near the N-type drift region. A distance from the first surface of the lower well region to the N-type drift region is greater than a distance from the second surface of the upper well region to the N-type drift region.
    Type: Grant
    Filed: June 30, 2015
    Date of Patent: March 7, 2017
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: Lei Fang
  • Patent number: 9589985
    Abstract: A method of forming an LTPS TFT substrate includes: Step 1: providing a substrate (1) and depositing a buffer layer (2); Step 2: depositing an a-Si layer (3); Step 3: depositing and patterning a silicon oxide layer (4); Step 4: taking the silicon oxide layer (4) as a photomask and annealing the a-Si layer (3) with excimer laser, so that the a-Si layer crystalizes and turns into a poly-Si layer; Step 5: forming a first poly-Si region (31) and a second poly-Si region (32); Step 6: defining a heavily N-doped area and a lightly N-doped area on the first and second poly-Si regions (31) and (32), and forming an LDD area; Step 7: depositing and patterning a gate insulating layer (5); Step 8: forming a first gate (61) and a second gate (62); Step 9: forming via holes (70); and Step 10: forming a first source/drain (81) and a second source/drain (82).
    Type: Grant
    Filed: February 9, 2015
    Date of Patent: March 7, 2017
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd
    Inventor: Gaiping Lu
  • Patent number: 9583628
    Abstract: A device includes a semiconductor substrate. A gate stack on the semiconductor substrate includes a gate dielectric layer and a gate conductor layer. Low-k spacers are adjacent to the gate dielectric layer. Raised source/drain (RSD) regions are adjacent to the low-k spacers. The low-k spacers are embedded in an ILD on the RSD regions.
    Type: Grant
    Filed: December 3, 2014
    Date of Patent: February 28, 2017
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Douglas C. La Tulipe, Jr.
  • Patent number: 9577069
    Abstract: A method of fabricating a MOS device is disclosed. A substrate having an active area (AA) silicon portion and shallow trench isolation (STI) region surrounding the active area is provided. A hard mask is formed on the substrate. A portion of the hard mask is removed to form an opening on the AA silicon portion. The opening exposes an edge of the STI region. The AA silicon portion is recessed through the opening to a predetermined depth to form a silicon spacer along a sidewall of the STI region in a self-aligned manner. An oxidation process is performed to oxidize the AA silicon portion and the silicon spacer to form a gate oxide layer.
    Type: Grant
    Filed: April 24, 2016
    Date of Patent: February 21, 2017
    Assignee: UNITED MICROELECTRONICS CORP.
    Inventors: Shih-Chieh Pu, Ping-Hung Chiang, Chang-Po Hsiung, Chia-Lin Wang, Nien-Chung Li, Wen-Fang Lee, Shih-Yin Hsiao, Chih-Chung Wang, Kuan-Lin Liu
  • Patent number: 9570601
    Abstract: Provided is a technique of securing reliability of a gate insulating film, as much as in a Si power MOSFET, in a semiconductor device in which a semiconductor material having a larger band gap than silicon is used, and which is typified by, for example, an SiC power MOSFET. In order to achieve this object, in the in the SiC power MOSFET, the gate electrode GE is formed in contact with the gate insulating film GOX, and is formed of the polycrystalline silicon film PF1 having the thickness equal to or smaller than 200 nm, and the polycrystalline silicon film PF2 formed in contact with the polycrystalline silicon film PF1, and having any thickness.
    Type: Grant
    Filed: July 16, 2013
    Date of Patent: February 14, 2017
    Assignee: Hitachi, Ltd.
    Inventors: Yuki Mori, Toshiyuki Mine, Hiroshi Miki, Mieko Matsumura, Hirotaka Hamamura
  • Patent number: 9559106
    Abstract: A memory cell including a substrate, a first dielectric layer, a floating gate, a second dielectric layer, and a control gate. The substrate includes a channel region situated between a drain region and a source region. The first dielectric layer is situated over the channel region and the floating gate is capacitively coupled to the channel region through the first dielectric layer. The second dielectric layer is situated over the floating gate and the control gate is capacitively coupled to the floating gate through the second dielectric layer. A dielectric nitride layer is situated between the floating gate and the second dielectric layer to prevent charge loss from the floating gate to the second dielectric layer.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: January 31, 2017
    Assignee: HEWLETT-PACKARD DEVELOPMENT COMPANY, L.P.
    Inventors: Chaw-Sing Ho, Reynaldo Villavelez, Xin Ping Cao
  • Patent number: 9559112
    Abstract: A method of fabricating a semiconductor memory device includes forming a mold stack on a substrate and the mold stack including first sacrificial layers and second sacrificial layers alternately stacked on the substrate. The method also includes forming a plurality of vertical channels that penetrate the mold stack and that contact the substrate, patterning the mold stack to form word line cuts between the vertical channels, the word line cuts exposing the substrate, removing one of the first and second sacrificial layers to form recessed regions in the mold stack, forming a data storage layer, at least a portion of the data storage layer being formed between the vertical channels and the gates, forming gates in the recessed regions, forming air gaps between the gates by removing the other of the first and second sacrificial layers, and forming an insulation layer pattern in the word line cuts.
    Type: Grant
    Filed: August 29, 2014
    Date of Patent: January 31, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Jaegoo Lee, Youngwoo Park, Jungdal Choi
  • Patent number: 9553192
    Abstract: Semiconductor devices include a strain-inducing layer capable of applying a strain to a channel region of a transistor included in a miniaturized electronic device, and a method of manufacturing the semiconductor device. The semiconductor device includes a substrate having a channel region; a pair of source/drain regions provided on the substrate and arranged on both sides of the channel region in a first direction; and a gate structure provided on the channel region and comprising a gate electrode pattern extending in a second direction that is different from the first direction, a gate dielectric layer disposed between the channel region and the gate electrode pattern, and a gate spacer covering respective lateral surfaces of the gate electrode pattern and the gate dielectric layer. At least one of the source/drain regions includes a first strain-inducing layer and a second strain-inducing layer.
    Type: Grant
    Filed: June 22, 2016
    Date of Patent: January 24, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seok-hoon Kim, Jin-bum Kim, Kwan-heum Lee, Byeong-chan Lee, Cho-eun Lee, Su-jin Jung
  • Patent number: 9553186
    Abstract: Embodiments of a manufacturing process for recessed gate devices on silicon-on-insulator (SOI) substrate with self-aligned lateral isolation are described. This allows the creation of true in-pitch recessed gate devices without requiring an extra isolation dimension. A lateral isolation trench is formed between pairs of recessed gate devices by etching the silicon-on-insulator area down to a buried oxide layer on which the silicon-on-insulator layer is formed. The position of the trench is self-aligned and defined by the gate width and the dimension of spacers disposed on either side of the gate. The isolation trench is filled with a dielectric material and then etched back to the middle of the SOI body and the remaining volume is filled with a doped conductive material. The doped conductor is subject to a thermal cycle to create source and drain regions of the device through out-diffusion of the doped material.
    Type: Grant
    Filed: July 28, 2014
    Date of Patent: January 24, 2017
    Inventor: John Kim
  • Patent number: 9553167
    Abstract: A semiconductor device is disclosed. The semiconductor device comprising: a semiconductor substrate having first type conductivity and including an active region and a device isolation film, a doping layer having second type conductivity and buried in a bottom part of the semiconductor substrate of the active region, a recess formed in the semiconductor substrate, a gate electrode provided in the recess.
    Type: Grant
    Filed: August 5, 2015
    Date of Patent: January 24, 2017
    Assignee: SK Hynix Inc.
    Inventor: Kyoung Chul Jang
  • Patent number: 9543188
    Abstract: The present invention provides an isolation structure for a semiconductor substrate and a method for manufacturing the same, as well as a semiconductor device having the structure. The present invention relates to the field of semiconductor manufacture. The isolation structure comprises: a trench embedded in a semiconductor substrate; an oxide layer covering the bottom and sidewalls of the trench, and isolation material in the trench and on the oxide layer, wherein a portion of the oxide layer on an upper portion of the sidewalls of the trench comprises lanthanum-rich oxide. By the trench isolation structure according to the present invention, metal lanthanum in the lanthanum-rich oxide can diffuse into corners of the oxide layer of the gate stack, thus alleviating the impact of the narrow channel effect and making the threshold voltage adjustable.
    Type: Grant
    Filed: March 2, 2011
    Date of Patent: January 10, 2017
    Assignee: INSTITUTE OF MICROELECTONICS, CHINESE ACADEMY OF SCIENCES
    Inventors: Zhijiong Luo, Haizhou Yin, Huilong Zhu, Huicai Zhong
  • Patent number: 9536981
    Abstract: A method for fabricating a field effect transistor device comprises forming a fin on a substrate, forming a first dummy gate stack and a second dummy gate stack over the fin, forming spacers adjacent to the fin, the first dummy gate stack, and the second dummy gate stack, etching to remove portions of the fin and form a first cavity partially defined by the spacers, depositing an insulator material in the first cavity, patterning a mask over the first dummy gate stack and portions of the fin, etching to remove exposed portions of the insulator material, and epitaxially growing a first semiconductor material on exposed portions of the fin.
    Type: Grant
    Filed: September 29, 2015
    Date of Patent: January 3, 2017
    Assignees: INTERNATIONAL BUSINESS MACHINES CORPORATION, GLOBALFOUNDRIES INC.
    Inventors: Xiuyu Cai, Sanjay C. Mehta, Tenko Yamashita
  • Patent number: 9525069
    Abstract: A method for fabricating a FinFET device includes forming a silicon-on-insulator (SOI) substrate having a semiconductor layer overlaying a buried oxide (BOX) layer; etching the semiconductor layer to form a plurality of fin structures and a semiconductor layer gap in between the plurality of fin structures and the BOX layer; depositing a sacrificial gate over at least one gate region, wherein the gate region separates a source and a drain region; disposing offset spacers on vertical sidewalls of the sacrificial gate; removing the sacrificial gate; removing the semiconductor layer gap in the gate region to prevent merging of the plurality of fin structures in the gate regions; and fabricating a high-k dielectric metal gate structure overlaying the fin structures in the gate region.
    Type: Grant
    Filed: December 19, 2014
    Date of Patent: December 20, 2016
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Andres Bryant, Jeffrey B. Johnson, Effendi Leobandung, Tenko Yamashita
  • Patent number: 9511560
    Abstract: A method for processing a sacrificial material of an intermediate microfabricated product includes forming a hydrogen-containing carbon layer on a surface of a base structure and releasing hydrogen from the hydrogen-containing carbon layer to obtain a hydrogen-released (i.e., densified) carbon layer with low shrink. The method further includes forming a structural layer on at least a portion of a surface of the hydrogen-released carbon layer, and oxidizing the hydrogen-released (densified) carbon layer to release the structural layer. In this manner, a cavity is formed between the base structure and the structural layer. The ashing of the hydrogen-released carbon layer leaves substantially no residues within the cavity of the intermediate or final microfabricated product. Further embodiments provide a method for manufacturing a microfabricated product, to an intermediate microfabricated product, and to a microfabrication equipment.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: December 6, 2016
    Assignee: Infineon Technologies AG
    Inventors: Guenter Denifl, Daniel Maurer, Thomas Grille, Joachim Hirschler, Markus Kahn
  • Patent number: 9508849
    Abstract: A device including a silicon substrate, a silicon germanium layer, a silicon layer, a gate stack, and silicon-containing stressors is provided. In an embodiment, the silicon germanium layer is disposed over a silicon substrate and relaxed while the silicon layer is disposed over the silicon germanium layer and un-relaxed. The silicon layer may be free from germanium. The gate stack is of an n-type metal-oxide-semiconductor (NMOS) field-effect transistor (FET) and disposed over the silicon layer and the silicon germanium layer. A portion of the silicon layer forms a channel region of the NMOS FET. The silicon-containing stressors are formed in recesses in the silicon layer and have a lattice constant smaller than a lattice constant of the silicon germanium layer.
    Type: Grant
    Filed: November 12, 2013
    Date of Patent: November 29, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Clement Hsingjen Wann, Chih-Hsin Ko, Yao-Tsung Huang, Cheng-Ying Huang
  • Patent number: 9502560
    Abstract: Provided are a semiconductor device and a method of manufacturing the same. An example device may include: a substrate having a well formed therein, the well including a first section and a second section, wherein the first section has a lower doping concentration and is closer to a surface of the substrate than the second section; a fin structure formed on the surface of the substrate; an isolation layer formed on the surface of the substrate, wherein the isolation layer exposes a portion of the fin structure, which serves as a fin for the semiconductor device; a gate stack formed on the isolation layer and intersecting the fin, wherein a Punch-Through Stopper (PTS) is formed in only a region directly under a portion of the fin where the fin intersects the gate stack.
    Type: Grant
    Filed: July 13, 2015
    Date of Patent: November 22, 2016
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventor: Huilong Zhu
  • Patent number: 9461122
    Abstract: A semiconductor device includes: a first GaN based semiconductor layer (hereinafter abbreviated as GaN layer); a second GaN layer on the first GaN layer and having a bandgap larger than that of the first GaN layer; a source electrode on the second GaN layer; a drain electrode on the second GaN layer; a gate electrode between the source electrode and the drain electrode, a gate insulating film between the gate electrode and the first GaN layer, a film thickness of the second GaN layer between the gate electrode and the first GaN layer being thinner than that of the second GaN layer between the source electrode and the first GaN layer; and a p-type third GaN layer between the second GaN layer and an end portion on the drain electrode side of the gate electrode, the gate insulating film between the gate electrode and the third GaN layer.
    Type: Grant
    Filed: March 9, 2015
    Date of Patent: October 4, 2016
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masahiko Kuraguchi, Hisashi Saito
  • Patent number: 9450056
    Abstract: An LDMOS transistor with a dummy gate comprises an extended drift region formed over a substrate, a drain region formed in the extended drift region, a channel region formed in the extended drift region, a source region formed in the channel region and a dielectric layer formed over the extended drift region. The LDMOS transistor with a dummy gate further comprises an active gate formed over the channel region and a dummy gate formed over the extended drift region. The dummy gate helps to reduce the gate charge of the LDMOS transistor while maintaining the breakdown voltage of the LDMOS transistor.
    Type: Grant
    Filed: January 17, 2012
    Date of Patent: September 20, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chun-Wai Ng, Ruey-Hsin Liu, Jun Cai, Hsueh-Liang Chou, Chi-Chih Chen
  • Patent number: 9450086
    Abstract: To enhance a semiconductor device. A semiconductor device has a plurality of p+-type semiconductor regions disposed between the mutually adjacent two gate trenches, in a cell region. The p+ type semiconductor regions are disposed spaced apart from each other, in plan view, in a p-type body layer in a portion positioned between the mutually adjacent two gate trenches. Any of a p-type impurity concentration in each of the p+ type semiconductor regions is higher than the p-type impurity concentration in the p-type body layer.
    Type: Grant
    Filed: March 10, 2015
    Date of Patent: September 20, 2016
    Assignee: RENESAS ELECTRONICS CORPORATION
    Inventor: Saya Shimomura
  • Patent number: 9437709
    Abstract: A semiconductor device and a method for fabricating the same are disclosed. In the method, a substrate structure is provided, including a substrate and a fin-shaped buffer layer formed on the surface of the substrate. A QW material layer is formed on the surface of the fin-shaped buffer layer. A barrier material layer is formed on the QW material layer. The QW material layer is suitable for forming an electron gas therein. Thereby the short-channel effect is improved, while high mobility of the semiconductor device is guaranteed. In addition, according to the present disclosure, thermal dissipation of the semiconductor device may be improved, and thus performance and stability of the device may be improved.
    Type: Grant
    Filed: February 1, 2016
    Date of Patent: September 6, 2016
    Assignee: Semiconductor Manufacturing International (Shanghai) Corporation
    Inventor: De Yuan Xiao
  • Patent number: 9437799
    Abstract: An integrated circuit containing CMOS transistors and an embedded thermoelectric device may be formed by forming field oxide in isolation trenches to isolate the CMOS transistors and thermoelectric elements of the embedded thermoelectric device. N-type dopants are implanted into the substrate to provide at least 1×1018 cm?3 n-type dopants in n-type thermoelectric elements and the substrate under the field oxide between the n-type thermoelectric elements. P-type dopants are implanted into the substrate to provide at least 1×1018 cm?3 p-type dopants in p-type thermoelectric elements and the substrate under the field oxide between the p-type thermoelectric elements. The n-type dopants and p-type dopants may be implanted before the field oxide are formed, after the isolation trenches for the field oxide are formed and before dielectric material is formed in the isolation trenches, and/or after the field oxide is formed.
    Type: Grant
    Filed: December 2, 2015
    Date of Patent: September 6, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Henry Litzmann Edwards, Kenneth James Maggio, Toan Tran, Jihong Chen, Jeffrey R. Debord
  • Patent number: 9431068
    Abstract: A dynamic random access memory (DRAM) can include at least one DRAM cell array, comprising a plurality of DRAM cells, each including a storage capacitor and access transistor; a body bias control circuit configured to generate body bias voltage from a bias supply voltage, the body bias voltage being different from power supply voltages of the DRAM; and peripheral circuits formed in the same substrate as the at least one DRAM array, the peripheral circuits comprising deeply depleted channel (DDC) transistors having bodies coupled to receive the body bias voltage, each DDC transistor having a screening region of a first conductivity type formed below a substantially undoped channel region.
    Type: Grant
    Filed: October 31, 2013
    Date of Patent: August 30, 2016
    Assignee: Mie Fujitsu Semiconductor Limited
    Inventors: Lawrence T. Clark, Lucian Shifren, Richard S. Roy
  • Patent number: 9431302
    Abstract: Impurity atoms of a first type are implanted through a gate and a thin gate dielectric into a channel region that has substantially only the first type of impurity atoms at a middle point of the channel region to increase the average dopant concentration of the first type of impurity atoms in the channel region to adjust the threshold voltage of a transistor.
    Type: Grant
    Filed: May 14, 2015
    Date of Patent: August 30, 2016
    Assignee: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Pinghai Hao, Sameer Pendharkar, Amitava Chatterjee
  • Patent number: 9419139
    Abstract: Methods of using a nitride to protect source/drain regions during dummy gate removal and the resulting devices are disclosed. Embodiments include forming an oxide layer on a substrate; forming a nitride protection layer on the oxide layer; forming a dummy gate layer on the nitride protection layer; patterning the oxide, nitride, and dummy gate layers forming first and second dummy gate stacks on first and second portions of the substrate, each dummy gate stack comprising a dummy gate, the nitride protection layer, and the oxide layer, wherein a portion of the oxide layer extends along the substrate beyond side edges of the dummy gate; forming first and second source/drain cavities in the substrate at opposite sides of the first and second dummy gate stacks, respectively; growing first and second eSiGe source/drain regions in the first and second source/drain cavities, respectively; and removing the first dummy gate and the second dummy gate stack.
    Type: Grant
    Filed: December 4, 2014
    Date of Patent: August 16, 2016
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Xusheng Wu, Hongxiang Mo, Qi Zhang, Byoung-Gi Min, Jeasung Park