To Form Ohmic Contact To Semiconductive Material Patents (Class 438/597)
  • Patent number: 8017967
    Abstract: A solid-state device having: a flip-chip mounted solid-state element; a power receiving/feeding portion having a mounting substrate to allow that a mounting surface of the solid-state element forms substantially the same plane as a surface of the mounting substrate; and an inorganic sealing portion made of an inorganic sealing material having a thermal expansion coefficient equal to that of the power receiving/feeding portion for sealing the solid-state element.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: September 13, 2011
    Assignee: Toyoda Gosei Co., Ltd.
    Inventors: Yoshinobu Suehiro, Masayoshi Ichikawa, Satoshi Wada, Koji Tasumi
  • Patent number: 8012801
    Abstract: A flip chip mounting process includes the steps of supplying a resin (13) containing solder powder and a convection additive (12) onto a wiring substrate (10) having a plurality of electrode terminals (II), then bringing a semiconductor chip (20) having a plurality of connecting terminals (11) into contact with a surface of the supplied resin (13), and then heating the wiring substrate (10) to a temperature that enables the solder powder to melt. The heating step is carried out at a temperature that is higher than the boiling point of the convection additive (12) to allow the boiling convection additive (12) to move within the resin (12). During this heating step, the melted solder powder is allowed to self-assemble into the region between each electrode terminal (11) of the wiring substrate (10) and each connecting terminal (21) of the semiconductor chip to form an electrical connection between each electrode terminal (11) and each connecting terminal (21).
    Type: Grant
    Filed: March 4, 2010
    Date of Patent: September 6, 2011
    Assignee: Panasonic Corporation
    Inventors: Seiji Karashima, Yoshihisa Yamashita, Satoru Tomekawa, Takashi Kitae, Seiichi Nakatani
  • Publication number: 20110210428
    Abstract: Method for producing semiconductor components with a contact structure having a high aspect ratio comprising the following steps: providing an essentially plane semiconductor substrate having a first side and a second side, applying a mask onto at least a first partial area on at least one of the sides of the semiconductor substrate and applying a contact structure onto at least a second partial area, which is different from first partial area, on at least one of the sides of semiconductor substrate.
    Type: Application
    Filed: August 12, 2008
    Publication date: September 1, 2011
    Inventors: Bernd Bitnar, Holger Neuhaus, Andreas Krause
  • Patent number: 8008180
    Abstract: A method of forming an Ohmic contact on a P-type 4H—SiC and an Ohmic contact formed by the same are provided. A method of forming an Ohmic contact on a P-type 4H—SiC substrate including a deposition step of successively depositing a 1 to 60 nm thick first Al layer, Ti layer, and second Al layer on a P-type 4H—SiC substrate and an alloying step of forming an alloy layer between the SiC substrate and the Ti layer through the first Al layer by heat treatment in a nonoxidizing atmosphere. An Ohmic contact on a P-type 4H—SiC substrate formed by this method is also provided.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: August 30, 2011
    Assignees: Toyota Jidosha Kabushiki Kaisha, Osaka University
    Inventors: Yasuo Takahashi, Masakatsu Maeda, Akinori Seki, Akira Kawahashi, Masahiro Sugimoto
  • Patent number: 8008179
    Abstract: Embodiments of the invention relate to a silicon semiconductor device, and a conductive thick film composition for use in a solar cell device.
    Type: Grant
    Filed: May 28, 2009
    Date of Patent: August 30, 2011
    Assignee: E.I. du Pont de Nemours and Company
    Inventors: Haixin Yang, Roberto Irizarry, Patricia J. Ollivier
  • Patent number: 8008178
    Abstract: A method for fabricating a semiconductor device includes forming a first conductive layer over a substrate, forming an intermediate structure over the first conductive layer, the intermediate structure formed in a stack structure comprising at least a first metal layer and a nitrogen containing metal silicide layer, and forming a second conductive layer over the intermediate structure.
    Type: Grant
    Filed: December 7, 2007
    Date of Patent: August 30, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventors: Kwan-Yong Lim, Hong-Seon Yang, Heung-Jae Cho, Tae-Kyung Kim, Yong-Soo Kim, Min-Gyu Sung
  • Patent number: 8003453
    Abstract: A complementary metal oxide semiconductor (CMOS) device, e.g., a field effect transistor (FET), that includes at least one one-dimensional nanostructure that is typically a carbon-based nanomaterial, as the device channel, and a metal carbide contact that is self-aligned with the gate region of the device is described. The present invention also provides a method of fabricating such a CMOS device.
    Type: Grant
    Filed: May 22, 2008
    Date of Patent: August 23, 2011
    Assignee: International Business Machines Corporation
    Inventors: Phaedon Avouris, Roy A. Carruthers, Jia Chen, Christopher G. M. M. Detavernier, Christian Lavoie, Hon-Sum Philip Wong
  • Patent number: 8003514
    Abstract: A method can include forming gate lines on a semiconductor substrate and forming a first interlayer dielectric layer for insulating the gate lines from each other. First and second contact plugs are formed on the semiconductor substrate and landing pads are formed on the first contact plugs and the first interlayer dielectric layer to overlap portions of the first contact plugs. Recessed contact plugs are formed to have recessed portions by etching the second contact plugs, to be located below an upper surface of the first interlayer dielectric layer, where a cross-sectional total distance between the landing pads and the recessed contact plugs increases due to the recessed portions.
    Type: Grant
    Filed: April 10, 2009
    Date of Patent: August 23, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-il Kim, Makoto Yoshida
  • Patent number: 8003509
    Abstract: A plated film having a uniform film thickness is formed on a surface of a substrate. A semiconductor manufacturing apparatus includes: a holding mechanism for holding a substrate rotatably; a nozzle for supplying a processing solution for performing a plating process on a processing target surface of the substrate; a substrate rotating mechanism for rotating the substrate held by the holding mechanism in a direction along the processing target surface; a nozzle driving mechanism for moving the nozzle in a direction along the processing target surface at a position facing the processing target surface of the substrate held by the holding mechanism; and a control unit for controlling the supply of the processing solution by the nozzle and the movement of the nozzle by the nozzle driving mechanism.
    Type: Grant
    Filed: March 17, 2009
    Date of Patent: August 23, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kenichi Hara, Mitsuaki Iwashita, Takashi Tanaka, Takayuki Toshima, Takehiko Orii
  • Publication number: 20110201190
    Abstract: The invention relates to a composition for printing a seed layer for electrodeposition or electroless deposition of a metal for the production of full-area or structured metallic surfaces on a substrate, comprising 0.1 to 6% by weight of electrolessly and/or electrolytically coatable particles, 40 to 98.8% by weight of at least one solvent, 0 to 15% by weight of a crosslinker, 0.1 to 6% by weight of at least one dispersing additive, 0 to 5% by weight of at least one further additive and 1 to 20% by weight of at least one polymer, said at least one polymer being in the form of a dispersion. The invention further relates to a process for producing full-area or structured metallic surfaces on a substrate, and to a use of the process.
    Type: Application
    Filed: February 15, 2011
    Publication date: August 18, 2011
    Applicant: BASF SE
    Inventors: STEPHAN HERMES, Sorin Ivanovici
  • Patent number: 7994049
    Abstract: The present invention is to possible to avoid an inconvenience at a coupling portion between a barrier metal film obtained by depositing a titanium nitride film on a titanium film and thus having a film stack structure and a metal film filled, via the barrier metal film, in a connecting hole opened in an insulating film.
    Type: Grant
    Filed: June 4, 2008
    Date of Patent: August 9, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Takuya Futase
  • Patent number: 7993951
    Abstract: In a method of manufacturing a photoelectric conversion device having a pixel region and a peripheral circuit region, a semiconductor compound layer is formed by causing a surface of a diffusion layer or gate electrode of a MOS transistor in the peripheral circuit region to react with a high melting point metal, then an insulating layer is formed in the pixel region and the peripheral circuit region after the step of forming a semiconductor compound layer. A contact hole is formed in the insulating layer to expose a diffusion layer in the pixel region, and a contact hole is formed in the insulating layer to expose the semiconductor compound layer formed in the peripheral circuit region. These holes are formed at different timings. Prior to forming the hole which is formed later, a contact plug is formed in the contact hole which is formed earlier.
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: August 9, 2011
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takehito Okabe, Hiroaki Naruse, Ryuichi Mishima, Kouhei Hashimoto
  • Patent number: 7993992
    Abstract: There is disclosed a method of fabricating TFTs having reduced interconnect resistance by having improved contacts to source/drain regions. A silicide layer is formed in intimate contact with the source/drain regions. The remaining metallization layer is selectively etched to form a contact pad or conductive interconnects.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: August 9, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Hisashi Ohtani, Etsuko Fujimoto
  • Patent number: 7989334
    Abstract: In a method of manufacturing a semiconductor device which method is made up of a process of forming a wiring groove using a hard mask, a metal hard mask 107 is used to form a wiring groove 111, allowing the shape of the wiring groove 111 to be stabilized. Furthermore, a part or all of the metal hard mask 107 is removed before the formation of TaN and Cu layers in the wiring groove 111. This enables a reduction in possible damage, which may increase the dielectric constant of the surface of low-dielectric-constant film, and thus in possible inter-wire leakage current. As a result, a reliable semiconductor device can be provided.
    Type: Grant
    Filed: March 6, 2009
    Date of Patent: August 2, 2011
    Assignee: Panasonic Corporation
    Inventor: Makoto Tsutsue
  • Patent number: 7989335
    Abstract: In a method of forming an insulation layer pattern, an insulation layer is formed on a substrate. An organic layer and a hard mask layer are successively formed on the insulation layer. A preliminary hard mask pattern having first openings is formed by patterning the hard mask layer. A hard mask pattern having the first openings and second openings is formed by patterning the preliminary hard mask pattern. Width control spacers are formed on sidewalls of the first and the second openings. An etching mask pattern is formed by etching the organic layer using the hard mask pattern as an etching mask. The insulation layer pattern having third openings is formed by etching the insulation layer using the etching mask pattern as an etching mask.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: August 2, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyoung-Woo Lee, Hong-Jae Shin
  • Patent number: 7988470
    Abstract: The present invention generally relates to thin film transistors (TFTs) and methods of making TFTs. The active channel of the TFT may comprise one or more metals selected from the group consisting of zinc, gallium, tin, indium, and cadmium. The active channel may also comprise nitrogen and oxygen. To protect the active channel during source-drain electrode patterning, an etch stop layer may be deposited over the active layer. The etch stop layer prevents the active channel from being exposed to the plasma used to define the source and drain electrodes. The etch stop layer and the source and drain electrodes may be used as a mask when wet etching the active material layer that is used for the active channel.
    Type: Grant
    Filed: September 17, 2010
    Date of Patent: August 2, 2011
    Assignee: Applied Materials, Inc.
    Inventor: Yan Ye
  • Publication number: 20110183517
    Abstract: The invention relates to a method for electron beam induced deposition of electrically conductive material from a metal carbonyl with the method steps of providing at least one electron beam at a position of a substrate (90), storing at least one metal carbonyl at a first temperature, and heating the at least one metal carbonyl to at least one second temperature prior to the provision at the position at which the at least one electron beam impacts on the substrate (90).
    Type: Application
    Filed: August 7, 2009
    Publication date: July 28, 2011
    Applicant: CARL ZEISS SMS GMBH
    Inventors: Nicole Auth, Petra Spies, Rainer Becker, Thorsten Hofmann, Klaus Edinger
  • Patent number: 7985671
    Abstract: Structures with improved solder bump connections and methods of fabricating such structures are provided herein. The method includes forming an upper wiring layer in a dielectric layer and depositing one or more dielectric layers on the upper wiring layer. The method further includes forming a plurality of discrete trenches in the one or more dielectric layers extending to the upper wiring layer. The method further includes depositing a ball limiting metallurgy or under bump metallurgy in the plurality of discrete trenches to form discrete metal islands in contact with the upper wring layer. A solder bump is formed in electrical connection to the plurality of the discrete metal islands.
    Type: Grant
    Filed: December 29, 2008
    Date of Patent: July 26, 2011
    Assignee: International Business Machines Corporation
    Inventors: Timothy H. Daubenspeck, Jeffrey P. Gambino, Christopher D. Muzzy, Wolfgang Sauter, Timothy D. Sullivan
  • Publication number: 20110169174
    Abstract: A method for forming a semiconductor device is disclosed. A method for forming a semiconductor device includes forming a first bit line contact over a semiconductor substrate, forming a second bit line contact that is coupled to the first bit line contact and has a larger width than the first bit line contact, and forming a bit line over the second bit line contact. When using the semiconductor device having a buried gate, although the bit line is formed to have a small width and the bit line pattern is misaligned, the method prevents incorrect coupling between a bit line and a bit line contact, so that it basically deteriorates unique characteristics of the semiconductor device.
    Type: Application
    Filed: December 28, 2010
    Publication date: July 14, 2011
    Applicant: Hynix Semiconductor Inc.
    Inventor: Hyun Jung KIM
  • Patent number: 7977228
    Abstract: The microelectronic device interconnects are fabricated by a process that utilizes a silicon-based interlayer dielectric material layer, such as carbon-doped oxide, and a chemical mixture selective to materials used in the formation of the interconnects, including, but not limited to, copper, cobalt, tantalum, and/or tantalum nitride, to remove the interlayer dielectric material layer between adjacent interconnects thereby forming air gaps therebetween.
    Type: Grant
    Filed: June 29, 2006
    Date of Patent: July 12, 2011
    Assignee: Intel Corporation
    Inventor: Vijayakumar S. Ramachandrarao
  • Patent number: 7977183
    Abstract: To provide a technique capable of improving the reliability of a semiconductor device even if the downsizing thereof is advanced. The technical idea of the present invention lies in the configuration in which in a first to a third silicon nitride film to be formed by lamination, the respective film thicknesses thereof are not constant but become smaller in order from the third silicon nitride film in the upper layer to the first silicon nitride film in the lower layer while the total film thickness thereof is kept constant. Due to this it is possible to improve the embedding characteristic of the third silicon nitride film in the uppermost layer in particular, while ensuring the tensile stress of the first to third silicon nitride films, which makes effective the strained silicon technique.
    Type: Grant
    Filed: October 24, 2009
    Date of Patent: July 12, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Yuki Koide
  • Patent number: 7977201
    Abstract: In one embodiment, a second metal line embedded in a second dielectric layer overlies a first metal line embedded in a first dielectric layer. A portion of the second dielectric layer overlying the first metal line is recessed employing a photoresist and the second metal line as an etch mask. A doped semiconductor spacer is formed within the recess to provide a resistive link between the first metal line and the second metal line. In another embodiment, a first metal line and a second metal line are embedded in a dielectric layer. An area of the dielectric layer laterally abutting the first and second metal lines is recessed employing a photoresist and the first and second metal lines as an etch mask. A doped semiconductor spacer is formed on sidewalls of the first and second metal lines, providing a resistive link between the first and second metal lines.
    Type: Grant
    Filed: August 14, 2008
    Date of Patent: July 12, 2011
    Assignee: International Business Machines Corporation
    Inventors: Wagdi W. Abadeer, Kiran V. Chatty, Robert J. Gauthier, Jr., Jed H. Rankin, Robert Robison, Yun Shi, William R. Tonti
  • Publication number: 20110156130
    Abstract: A method of forming multiple conductive structures in a semiconductor device includes forming spacers adjacent side surfaces of a mask, where the mask and the spacers are formed on a conductive layer. The method also includes etching at least one trench in a portion of the conductive layer not covered by the spacers or the mask. The method may further include depositing a material over the semiconductor device, removing the mask and etching the conductive layer to remove portions of the conductive layer not covered by the spacers or the material, where remaining portions of the conductive layer form the conductive structures.
    Type: Application
    Filed: March 9, 2011
    Publication date: June 30, 2011
    Applicants: ADVANCED MICRO DEVICES, INC., SPANSION LLC
    Inventors: Michael BRENNAN, Scott BELL
  • Patent number: 7968458
    Abstract: A production process for making an electronic circuit substrate comprising: a patterning step of forming a respectively anodically oxidizable conductor pattern and distribution pattern connected to the conductor pattern on a substrate; and an anodic oxidation step of generating an oxide film from the conductor pattern and the distribution pattern by contacting an electrolyte solution with the conductor pattern and the distribution pattern and carrying out anodic oxidation while applying current thereto, the patterns serving as anodes, wherein the width or film thickness of the distribution pattern is at least partially set so that an insulator portion is formed in the anodic oxidation step in which an oxide film formed on one of the side walls of the distribution pattern is integrated with an oxide film formed on the other side wall.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: June 28, 2011
    Assignee: Pioneer Corporation
    Inventors: Takashi Chuman, Satoru Ohta, Satoshi Miyaguchi
  • Patent number: 7968420
    Abstract: A method for manufacturing a semiconductor device, includes: forming an insulating film on a substrate; selectively removing the insulating film, so as to form a groove including a first groove area having a first depth and a second groove area having a second depth, the second depth being smaller than the first depth; infusing a conductive liquid material into the first groove area and the second groove area; treating the conductive liquid material, so as to form a first conductive film in the first groove area and a second conductive film in the second groove area; and forming a second insulating film on the first and the second conductive films, followed by forming a third conductive film on the second insulating film.
    Type: Grant
    Filed: May 1, 2007
    Date of Patent: June 28, 2011
    Assignee: Seiko Epson Corporation
    Inventor: Tomoyuki Kamakura
  • Patent number: 7968444
    Abstract: Disclosed are electrolyte compositions for depositing a tin alloy on a substrate. The electrolyte compositions include tin ions, ions of one or more alloying metals, a flavone compound and a dihydroxy bis-sulfide. The electrolyte compositions are free of lead and cyanide. Also disclosed are methods of depositing a tin alloy on a substrate and methods of forming an interconnect bump on a semiconductor device.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: June 28, 2011
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Yu Luo, Neil D. Brown, Michael P. Toben
  • Patent number: 7968395
    Abstract: A method for reducing contact to gate shorts in a semiconductor device and the resulting semiconductor device are described. In one embodiment, a gate is formed on a substrate, a contact is formed on the gate and the substrate, and an insulator is formed between the gate and the contact. The insulator may be formed by oxidizing the gate to form a dielectric between the contact and the gate after the contact is formed on the gate.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: June 28, 2011
    Assignee: Intel Corporation
    Inventor: Nadia Rahhal-Orabi
  • Publication number: 20110147817
    Abstract: Semiconductor component having an oxide layer. One embodiment includes a first semiconductor region and a second semiconductor region. An oxide layer is arranged between the first and second semiconductor region. The first semiconductor region and the oxide layer form a first semiconductor-oxide interface. The second semiconductor region and the oxide layer form a second semiconductor-oxide interface. The oxide layer has a chlorine concentration, the chlorine concentration having a first maximum in the region of the first semiconductor-oxide interface, and having a second maximum in the region of the second semiconductor-oxide interface.
    Type: Application
    Filed: December 17, 2009
    Publication date: June 23, 2011
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Hans-Joachim Schulze, Helmut Strack, Hans Weber
  • Publication number: 20110147471
    Abstract: A semiconductor wafer with rear side identification and to a method for producing the same is disclosed. In one embodiment, the rear side identification has a multiplicity of information regarding the monocrystalline and surface and also rear side constitution. A multiplicity of semiconductor device positions arranged in rows and columns are provided on the top side of the semiconductor wafer, an information chip being arranged at an exposed semiconductor device position, the information chip having at least the information of the rear side identification.
    Type: Application
    Filed: February 28, 2011
    Publication date: June 23, 2011
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Stephan Bradl, Rainer Holmer
  • Patent number: 7964490
    Abstract: Embodiments of the present invention describe a method of forming nickel sulfide layer on a semiconductor device. A nickel sulfide layer is formed on a substrate by alternatingly exposing the substrate to a nickel-containing precursor and a sulfur-containing precursor.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: June 21, 2011
    Assignee: Intel Corporation
    Inventors: Scott Bruce Clendenning, Niloy Mukherjee, Ravi Pillarisetty
  • Patent number: 7960269
    Abstract: A method for fabricating a circuitry component comprises depositing a first metal layer over a substrate; forming a first pattern-defining layer over said first metal layer, a first opening in said first pattern-defining layer exposing said first metal layer; depositing a second metal layer over said first metal layer exposed by said first opening; removing said first pattern-defining layer; forming a second pattern-defining layer over said second metal layer, a second opening in said second pattern-defining layer exposing said second metal layer; depositing a third metal layer over said second metal layer exposed by said second opening; removing said second pattern-defining layer; removing said first metal layer not under said second metal layer; and forming a polymer layer over said second metal layer, wherein said third metal layer is used as a metal bump bonded to an external circuitry.
    Type: Grant
    Filed: July 24, 2006
    Date of Patent: June 14, 2011
    Assignee: Megica Corporation
    Inventors: Hsin-Jung Lo, Mou-Shiung Lin, Chiu-Ming Chou, Chien-Kang Chou
  • Patent number: 7959985
    Abstract: A method for forming a modified TaC or TaCN film that may be utilized as a barrier film for Cu metallization. The method includes disposing a substrate in a process chamber of a plasma enhanced atomic layer deposition (PEALD) system configured to perform a PEALD process, depositing a TaC or TaCN film on the substrate using the PEALD process, and modifying the deposited TaC or TaCN film by exposing the deposited TaC or TaCN film to plasma excited hydrogen or atomic hydrogen or a combination thereof in order to remove carbon from at least the plasma exposed portion of the deposited TaCN film. The method further includes forming a metal film on the modified TaCN film, where the modified TaCN film provides stronger adhesion to the metal film than the deposited TaCN film. According to one embodiment, a TaCN film is deposited from alternating exposures of TAIMATA and plasma excited hydrogen.
    Type: Grant
    Filed: March 20, 2006
    Date of Patent: June 14, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Tadahiro Ishizaka, Tsukasa Matsuda, Masamichi Hara, Jacques Faguet, Yasushi Mizusawa
  • Patent number: 7960213
    Abstract: An electronic package structure and method use a conductive strip to bond die-to-die, die-to-lead, chip carrier-to-lead, or lead-to-lead. A conductive strip may carry greater current than a bonding wire, and thus may replace several bonding wires. The bonding of the conductive strip may be carried out by an SMT process, and thus requires lower cost than wire bonding processes. A conductive strip may be bonded to more than two dice or leads to save more bonding wires. A conductive strip is stronger than a bonding wire, and thus lowers the possibility of being broken.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: June 14, 2011
    Assignee: Richtek Technology Corp.
    Inventor: Yu-Lin Yang
  • Publication number: 20110136309
    Abstract: In one embodiment, a method for forming a transistor having insulated gate electrodes and insulated shield electrodes within trench regions includes forming disposable dielectric stack overlying a substrate. The method also includes forming the trench regions adjacent to the disposable dielectric stack. After the insulated gate electrodes are formed, the method includes removing the disposable dielectric stack, and then forming spacers adjacent the insulated gate electrodes. The method further includes using the spacers to form recessed regions in the insulated gate electrodes and the substrate, and then forming enhancement regions in the first and second recessed regions.
    Type: Application
    Filed: December 9, 2009
    Publication date: June 9, 2011
    Inventors: Gordon M. Grivna, James Sellers, Prasad Venkatraman
  • Publication number: 20110136333
    Abstract: Disclosed are new semiconductor materials prepared from dimeric perylene compounds. Such compounds can exhibit high n-type carrier mobility and/or good current modulation characteristics. In addition, the compounds of the present teachings can possess certain processing advantages such as solution-processability and/or good stability at ambient conditions.
    Type: Application
    Filed: May 29, 2009
    Publication date: June 9, 2011
    Applicants: BASF SE, POLYERA CORPORATION
    Inventors: Antonio Facchetti, Zhihua Chen, Florian Doetz, Marcel Kastler, Tobin J. Marks, He Yan, Yan Zheng
  • Publication number: 20110136332
    Abstract: A fuse base insulating region, for example, an insulating interlayer or a compensation region disposed in an insulating interlayer, is formed on a substrate. An etch stop layer is formed on the fuse base insulating region and forming an insulating interlayer having a lower dielectric constant than the first fuse base insulating region on the etch stop layer. A trench extending through the insulating interlayer and the etch stop layer and at least partially into the fuse base insulating region is formed. A fuse is formed in the trench. The fuse base insulating region may have a greater mechanical strength and/or density than the second insulating interlayer.
    Type: Application
    Filed: December 3, 2010
    Publication date: June 9, 2011
    Inventors: Sang-Hoon Ahn, Gil-Heyun Choi, Jong-Myeong Lee, Sang-Don Nam, Kyu-Hee Han
  • Patent number: 7955979
    Abstract: A method for forming a conductive thin film includes depositing a metal oxide thin film on a substrate by an atomic layer deposition (ALD) process. The method further includes at least partially reducing the metal oxide thin film by exposing the metal oxide thin film to a reducing agent, thereby forming a seed layer. In one arrangement, the reducing agent comprises one or more organic compounds that contain at least one functional group selected from the group consisting of —OH, —CHO, and —COOH. In another arrangement, the reducing agent comprises an electric current.
    Type: Grant
    Filed: February 28, 2008
    Date of Patent: June 7, 2011
    Assignee: ASM International N.V.
    Inventors: Juhana Kostamo, Pekka J. Soininen, Kai-Erik Elers, Suvi Haukka
  • Patent number: 7955965
    Abstract: The present invention provides nanophotovoltaic devices having sizes in a range of about 50 nm to about 5 microns, and method of their fabrication. In some embodiments, the nanophotovoltaic device includes a semiconductor core, e.g., formed of silicon, sandwiched between two metallic layers, one of which forms a Schottky barrier junction with the semiconductor core and the other forms an ohmic contact therewith. In other embodiment, the nanophotovoltaic device includes a semiconductor core comprising a p-n junction that is sandwiched between two metallic layers forming ohmic contacts with the core.
    Type: Grant
    Filed: August 6, 2010
    Date of Patent: June 7, 2011
    Assignee: Spire Corporation
    Inventors: Steven J. Wojtczuk, James G. Moe, Roger G. Little
  • Patent number: 7951683
    Abstract: In-situ semiconductor process that can fill high aspect ratio (typically at least 6:1, for example 7:1 or higher), narrow width (typically sub 0.13 micron, for example 0.1 micron or less) gaps with significantly reduced incidence of voids or weak spots is provided. This deposition part of the process may involve the use of any suitable high density plasma chemical vapor deposition (HDP CVD) chemistry. Prior to etch back, the feature gap is plugged with an etch selectivity layer. The etch back part of the process involves multiple steps including a sputter etch to reduce the top hat formations followed by a reactive plasma etch to open the gap. This method improves gapfill, reduces the use of high cost fluorine-based etching and produces interim gaps with better sidewall profiles and aspect ratios.
    Type: Grant
    Filed: April 6, 2007
    Date of Patent: May 31, 2011
    Assignee: Novellus Systems, Inc
    Inventor: Sunil Shanker
  • Patent number: 7951698
    Abstract: A method of fabricating an electronic device using nanowires, minimizing the number of E-beam processing steps and thus improving a yield, includes the steps of: forming electrodes on a substrate; depositing a plurality of nanowires on the substrate including the electrodes; capturing an image of the substrate including the nanowires and the electrodes; drawing virtual connection lines for connecting the nanowires with the electrodes on the image using an electrode pattern simulated through a computer program, after capturing the image; coating an E-beam photoresist on the substrate; removing the photoresist from regions corresponding to the virtual connection lines and the electrode pattern using E-beam lithography; depositing a metal layer on the substrate after removing the photoresist from the regions of the virtual connection lines; and removing remaining photoresist from the substrate using a lift-off process.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: May 31, 2011
    Assignees: Electronics and Telecommunications Research Institute, Korea University Industrial & Academic Collaboration Foundation
    Inventors: Seung Eon Moon, Eun Kyoung Kim, Hong Yeol Lee, Jong Hyurk Park, Kang Ho Park, Jong Dae Kim, So Jeong Park, Gyu Tae Kim
  • Patent number: 7951709
    Abstract: A method of making a semiconductor die includes forming a trench around a conductive stud extending from the first side to a second side of a substrate to expose a portion of the stud and then forming a conductive layer inside the trench and in electrical contact with the stud.
    Type: Grant
    Filed: September 10, 2010
    Date of Patent: May 31, 2011
    Assignee: Micron Technology, Inc.
    Inventor: David Pratt
  • Patent number: 7951697
    Abstract: A method of forming an electronic component package includes forming a patterned dielectric layer comprising circuit pattern artifacts and at least one electronic component opening. An etch stop metal protected circuit pattern is plated with the circuit pattern artifacts. An electronic component is mounted in the electronic component opening. The etch stop metal protected circuit pattern provide an etch stop for substrate formation etch processes. In this manner, etching of a patterned conductor layer is avoided insuring that impedance is controlled to within tight tolerance.
    Type: Grant
    Filed: June 20, 2007
    Date of Patent: May 31, 2011
    Assignee: Amkor Technology, Inc.
    Inventors: Ronald Patrick Huemoeller, Sukianto Rusli
  • Patent number: 7947609
    Abstract: A method of patterning a film stack is described. The method comprises preparing a film stack on a substrate, wherein the film stack comprises a SiCOH-containing layer formed on the substrate, a silicon oxide (SiOx) layer formed on the SiCOH-containing layer, and a mask layer formed on the silicon oxide layer. A pattern is created in the mask layer. Thereafter, the pattern in the mask layer is transferred to the silicon oxide layer using an etching process, and then the mask layer is removed. The pattern in the silicon oxide layer is transferred to the SiCOH-containing layer using a dry plasma etching process formed from a process composition comprising NF3.
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: May 24, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yannick Feurprier
  • Publication number: 20110117723
    Abstract: By forming metallization structures on the basis of an imprint technique, in which via openings and trenches may be commonly formed, a significant reduction of process complexity may be achieved due to the omission of at least one further alignment process as required in conventional process techniques. Furthermore, the flexibility and efficiency of imprint lithography may be increased by providing appropriately designed imprint molds in order to provide via openings and trenches exhibiting an increased fill capability, thereby also improving the performance of the finally obtained metallization structures with respect to reliability, resistance against electromigration and the like.
    Type: Application
    Filed: January 27, 2011
    Publication date: May 19, 2011
    Inventors: Robert Seidel, Carsten Peters, Frank Feustel
  • Patent number: 7943502
    Abstract: Provided are a phase change memory device and a method for forming the phase change memory device. The method includes forming a phase change material layer by providing reactive radicals to a substrate. The reactive radicals may comprise precursors for a phase change material and nitrogen.
    Type: Grant
    Filed: June 10, 2008
    Date of Patent: May 17, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Lim Park, Sung-Lae Cho, Byoung-Jae Bae, Jin-Il Lee, Hye-Young Park
  • Publication number: 20110108815
    Abstract: A method for forming a thin film electrode for an organic thin film transistor of the invention provides a multi-layer mask on a substrate with an electrode area opening in a top layer of the mask that is undercut by openings in other layers of the mask. A thin film of metal is deposited in the electrode area on the substrate. Removing the multi-layer mask leaves a well-formed thin film electrode with naturally tapered edges. A preferred embodiment of the invention is a method for forming a thin film electrode for an organic thin film transistor. The method includes depositing a first layer of photoresist on a substrate. The photoresist of the first layer has a first etching rate. A second layer of photoresist is deposited on the first layer of photoresist. The photoresist of the second layer has a second etching rate that is lower than the first etching rate. The first and second layer of photoresist are patterned by exposure.
    Type: Application
    Filed: April 21, 2009
    Publication date: May 12, 2011
    Applicant: THE REGENTS OF UNIVERSITY OF CALIFORNIA
    Inventors: Andrew C. Kummell, Jeongwin Park
  • Patent number: 7939421
    Abstract: A method for fabricating an integrated circuit structure includes the steps of forming a second dielectric layer on a substrate including a first conductive layer and a first dielectric layer, forming the second dielectric layer on the first conductive layer and the first dielectric layer, forming a hole exposing the first conductive layer in the second dielectric layer, forming a barrier layer inside the hole, and forming a second conductive layer on the barrier layer. In one embodiment of the present invention, the forming of the barrier layer comprises the steps of forming a metal layer in the hole, and performing a treating process in an atmosphere including a plasma formed from a gas including oxidant to form a metal oxide layer on the metal layer.
    Type: Grant
    Filed: July 8, 2009
    Date of Patent: May 10, 2011
    Assignee: Nanya Technology Corp.
    Inventor: Chiang Hung Lin
  • Publication number: 20110104884
    Abstract: A hot edge ring with extended lifetime comprises an annular body having a sloped upper surface. The hot edge ring includes a step underlying an outer edge of a semiconductor substrate supported in a plasma processing chamber wherein plasma is used to process the substrate. The step includes a vertical surface which surrounds the outer edge of the substrate and the sloped upper surface extends upwardly and outwardly from the upper periphery of the vertical surface.
    Type: Application
    Filed: November 2, 2010
    Publication date: May 5, 2011
    Applicant: Lam Research Corporation
    Inventors: Akira Koshiishi, Sathya Mani, Gautam Bhattacharyya, Gregory R. Bettencourt, Sandy Chao
  • Patent number: 7935640
    Abstract: A method of forming a damascene structure comprises preparing a film stack on the substrate, wherein the film stack comprises a SiCOH-containing layer formed on the substrate, a silicon oxide (SiOx) layer formed on the SiCOH-containing layer, and a first mask layer formed on the silicon oxide layer. A trench pattern is created in the first mask layer. The trench pattern in the first mask layer is transferred to the silicon oxide layer, and then the first mask layer is removed. A second mask layer is formed on the silicon oxide layer. A via pattern is formed in the second mask layer. The via pattern is transferred to the SiCOH-containing layer using a first etching process, and then the second mask layer is removed. The trench pattern is transferred to the SiCOH-containing layer using a second etching process with plasma formed from a process composition comprising NF3.
    Type: Grant
    Filed: August 10, 2007
    Date of Patent: May 3, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yannick Feurprier
  • Publication number: 20110095434
    Abstract: The present invention provides apparatus, methods, and systems for fabricating memory lines and structures using double sidewall patterning for four times half pitch relief patterning. The invention includes forming features from a first template layer disposed above a substrate, forming half-pitch sidewall spacers adjacent the features, forming smaller features in a second template layer by using the half-pitch sidewall spacers as a hardmask, forming quarter-pitch sidewall spacers adjacent the smaller features, and forming conductor features from a conductor layer by using the quarter-pitch sidewall spacers as a hardmask. Numerous additional aspects are disclosed.
    Type: Application
    Filed: October 26, 2010
    Publication date: April 28, 2011
    Applicant: SANDISK 3D LLC
    Inventors: Roy E. Scheuerlein, Yoichiro Tanaka