To Form Ohmic Contact To Semiconductive Material Patents (Class 438/597)
  • Patent number: 7932168
    Abstract: A method of a fabricating a bitline in a semiconductor device, comprising: forming an interlayer insulation layer that defines a bitline contact hole on a semiconductor substrate; forming a contact layer to fill the bitline contact hole; forming a bitline contact by planarizing the contact layer; forming a bitline stack aligned with the bitline contact; forming a high aspect ratio process (HARP) layer that extends along the bitline stack and the interlayer insulation layer while covering a seam exposed in a side portion of the bitline stack by excessive planarization during formation of the bitline contact; and forming an interlayer gap-filling insulation layer on the HARP layer that gap-fills the entire bitline stack.
    Type: Grant
    Filed: December 16, 2009
    Date of Patent: April 26, 2011
    Assignee: Hynix Semiconductor Inc.
    Inventor: Byung Soo Eun
  • Patent number: 7932167
    Abstract: A memory cell in an integrated circuit is fabricated in part by forming a lower electrode feature, an island, a sacrificial feature, a gate feature, and a phase change feature. The island is formed on the lower electrode feature and has one or more sidewalls. It comprises a lower doped feature, a middle doped feature formed above the lower doped feature, and an upper doped feature formed above the middle doped feature. The sacrificial feature is formed above the island, while the gate feature is formed along each sidewall of the island. The gate feature overlies at least a portion of the middle doped feature of the island and is operative to control an electrical resistance therein. Finally, the phase feature is formed above the island at least in part by replacing at least a portion of the sacrificial feature with a phase change material. The phase change material is operative to switch between lower and higher electrical resistance states in response to an application of an electrical signal.
    Type: Grant
    Filed: June 29, 2007
    Date of Patent: April 26, 2011
    Assignee: International Business Machines Corporation
    Inventors: Toshiharu Furukawa, John G. Gaudiello, Mark Charles Hakey, Steven J. Holmes, David V. Horak, Charles William Koburger, III, Chung Hon Lam
  • Publication number: 20110089559
    Abstract: A method of producing a semiconductor device is provided, the semiconductor device including a substrate, a semiconductor layer and at least one metallization layer adjacent to at least one element chosen from the substrate and the semiconductor layer, the method including forming at least one metallization layer which, adjacent to at least one element chosen from the substrate and the semiconductor layer, includes oxygen.
    Type: Application
    Filed: October 21, 2009
    Publication date: April 21, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Evelyn SCHEER, Fabio PIERALISI, Marcus BENDER
  • Patent number: 7928420
    Abstract: A storage cell, integrated circuit (IC) chip with one or more storage cells that may be in an array of the storage cells and a method of forming the storage cell and IC. Each storage cell includes a stylus, the tip of which is phase change material. The phase change tip may be sandwiched between an electrode and conductive material, e.g., titanium nitride (TiN), tantalum nitride (TaN) or n-type semiconductor. The phase change layer may be a chalcogenide and in particular a germanium (Ge), antimony (Sb), tellurium (Te) (GST) layer.
    Type: Grant
    Filed: December 10, 2003
    Date of Patent: April 19, 2011
    Assignee: International Business Machines Corporation
    Inventors: David V. Horak, Chung H. Lam, Hon-Sum P. Wong
  • Patent number: 7927996
    Abstract: Methods of forming transparent conducting oxides and devices formed by these methods are shown. Monolayers that contain tungsten and monolayers that contain indium are deposited onto a substrate and subsequently processed to form tungsten-doped indium oxide. The resulting transparent conducing oxide includes properties such as an amorphous or nanocrystalline microstructure. Devices that include transparent conducing oxides formed with these methods have better step coverage over substrate topography and more robust film mechanical properties.
    Type: Grant
    Filed: February 13, 2007
    Date of Patent: April 19, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Patent number: 7928016
    Abstract: A method for manufacturing a semiconductor device is provided that can reduce warping of manufactured products after the formation of a final protective film. The method includes, in a semiconductor device having a semiconductor substrate provided with wiring and a final protective film formed on the wiring, forming a first protective film on the wiring, forming a second protective film having tensile stress on the first protective film, and removing the first protective film and the second protective film from contact regions of the wiring.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: April 19, 2011
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Hiroyuki Kawano
  • Patent number: 7923319
    Abstract: When a natural oxide film is left at the interface between a metal silicide layer and a silicon nitride film, in various heating steps (steps involving heating of a semiconductor substrate, such as various insulation film and conductive film deposition steps) after deposition of the silicon nitride film, the metal silicide layer partially abnormally grows due to oxygen of the natural oxide film occurring on the metal silicide layer surface. A substantially non-bias (including low bias) plasma treatment is performed in a gas atmosphere containing an inert gas as a main component on the top surface of a metal silicide film of nickel silicide or the like over source/drain of a field-effect transistor forming an integrated circuit. Then, a silicon nitride film serving as an etching stop film of a contact process is deposited. As a result, without causing undesirable cutting of the metal silicide film, the natural oxide film over the top surface of the metal silicide film can be removed.
    Type: Grant
    Filed: November 20, 2009
    Date of Patent: April 12, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Takuya Futase, Shuhei Murata, Takeshi Hayashi
  • Publication number: 20110079884
    Abstract: An integrated circuit with a passivation trapping layer. An integrated circuit with a hydrogen or deuterium releasing layer underlying a passivation trapping layer. Method for forming an integrated circuit having a hydrogen or deuterium releasing layer. Method for forming an integrated circuit having a passivation trapping layer.
    Type: Application
    Filed: September 24, 2010
    Publication date: April 7, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Gul B. Basim, Scott R. Summerfelt, Ted S. Moise
  • Publication number: 20110079920
    Abstract: An electrical connection via is formed through a substrate to make an electrical connection from one face of the substrate to the other. The via includes a ring made of an electrically conductive material. The ring is formed in a hole in the substrate so as to at least partly form the via.
    Type: Application
    Filed: October 4, 2010
    Publication date: April 7, 2011
    Applicant: STMICROELECTRONICS (CROLLES 2) SAS
    Inventors: Hamed Chaabouni, Lionel Cadix
  • Patent number: 7915157
    Abstract: A chip structure comprises a substrate, a first built-up layer, a passivation layer and a second built-up layer. The substrate includes many electric devices placed on a surface of the substrate. The first built-up layer is located on the substrate. The first built-up layer is provided with a first dielectric body and a first interconnection scheme, wherein the first interconnection scheme interlaces inside the first dielectric body and is electrically connected to the electric devices. The first interconnection scheme is constructed from first metal layers and plugs, wherein the neighboring first metal layers are electrically connected through the plugs. The passivation layer is disposed on the first built-up layer and is provided with openings exposing the first interconnection scheme. The second built-up layer is formed on the passivation layer.
    Type: Grant
    Filed: February 2, 2008
    Date of Patent: March 29, 2011
    Assignee: Megica Corporation
    Inventors: Jin-Yuan Lee, Mou-Shiung Lin, Ching-Cheng Huang
  • Patent number: 7910470
    Abstract: An embodiment of the present invention discloses a method for contacting at least one electrical contact surface on a surface of a substrate and/or at least one component arranged on the substrate, especially a semiconductor chip. The method includes the following steps: at least one insulating film consisting of an electrically insulating plastic material is laminated, under a vacuum, onto the surfaces of the substrate and the component including the contact surface; and the contact surface to be contacted on the surfaces is bared by opening a window in the insulating film. An embodiment of the present invention further comprises sheet contacting the bared contact surface with at least one metallisation on an insulating film.
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: March 22, 2011
    Assignee: Siemens Aktiengesellschaft
    Inventor: Karl Weidner
  • Patent number: 7910469
    Abstract: An electrical circuit containing a substrate having thereon a receptive layer, wherein the receptive layer has a conductive polymer impregnated in the receptive layer, and a method for forming the electrical circuit.
    Type: Grant
    Filed: September 24, 2003
    Date of Patent: March 22, 2011
    Assignee: Konica Minolta Holdings, Inc.
    Inventor: Katsura Hirai
  • Patent number: 7910498
    Abstract: A method for manufacturing a semiconductor device, including: (a) forming an energy cured resin layer on a semiconductor substrate having an electrode pad and a passivation film; (b) fusing the resin layer without being cured and shrunk by a first energy supply processing; (c) forming a resin boss by curing and shrinking the resin layer after fusion by a second energy supply processing; and (d) forming an electrical conducting layer which is electrically connected to the electrode pad and passes through over the resin boss.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: March 22, 2011
    Assignee: Seiko Epson Corporation
    Inventors: Yasuo Yamasaki, Shuichi Tanaka, Nobuaki Hashimoto
  • Publication number: 20110065245
    Abstract: A method for fabricating a metal-oxide semiconductor (MOS) transistor is disclosed. The method includes the steps of: providing a semiconductor substrate; forming a gate structure on the semiconductor substrate and a source/drain region in the semiconductor substrate adjacent to two sides of the gate structure; covering a stress layer on the gate structure and the source/drain region; etching away the stress layer to form a plurality of openings with larger top and smaller bottom to expose surface of the gate structure and the source/drain region; forming a metal layer in the openings; and using the stress layer as a salicide block to react the metal layer with the gate structure and the source/drain region for forming a plurality of silicide layers.
    Type: Application
    Filed: September 13, 2009
    Publication date: March 17, 2011
    Inventors: Jei-Ming Chen, Kuo-Chih Lai, Teng-Chun Tsai, Hsiu-Lien Liao
  • Publication number: 20110062523
    Abstract: In a static memory cell composed of four MOS transistors, the transistors composing a memory cell are formed on a substrate and have a drain, gate, and source arranged vertically with the gate surrounding a columnar semiconductor layer. In this memory cell, the first diffusion layers (second diffusion layers) functioning as a first memory node (second memory node) are connected via a first silicide layer (second silicide layer) formed on their surfaces, whereby an SRAM cell having a small area is realized. Furthermore, a first anti-leak diffusion layer (second anti-leak diffusion layer) having the conductivity type opposite to the first well is formed between the first well and the first diffusion layer (second diffusion layer) having the same conductivity type as the first well so as to prevent leak to the substrate.
    Type: Application
    Filed: September 14, 2010
    Publication date: March 17, 2011
    Inventors: Fujio Masuoka, Shintaro Arai
  • Patent number: 7906420
    Abstract: A method for forming alloy deposits at selected areas on a receiving substrate includes the steps of: providing an alloy carrier including at least a first decal including a first plurality of openings and a second decal including a second plurality of openings, the first and second decals being arranged such that each of the first plurality of openings is in alignment with a corresponding one of the second plurality of openings; filling the first and second plurality of openings with molten alloy; cooling the molten alloy to thereby form at least first and second plugs, the first plug having a first surface and a second surface substantially parallel to one another, the second plug having a third surface and a fourth surface substantially parallel to one another; removing at least one of the first and second decals to at least partially expose the first and second plugs; aligning the alloy carrier with the receiving substrate so that the first and second plugs correspond to the selected areas on the receivin
    Type: Grant
    Filed: November 30, 2009
    Date of Patent: March 15, 2011
    Assignee: International Business Machines Corporation
    Inventors: Peter Alfred Gruber, Paul Alfred Lauro, Jae-Woong Nah
  • Patent number: 7901994
    Abstract: Methods of fabricating transistor in which a first Group III nitride layer is formed on a substrate in a reactor, and a second Group III nitride layer is formed on the first Group III nitride layer. An insulating layer such as, for example, a silicon nitride layer is formed on the second Group III nitride layer in-situ in the reactor. The substrate including the first Group III nitride layer, the second group III nitride layer and the silicon nitride layer is removed from the reactor, and the silicon nitride layer is patterned to form a first contact hole that exposes a first contact region of the second Group III nitride layer. A metal contact is formed on the first contact region of the second Group III nitride layer.
    Type: Grant
    Filed: November 23, 2005
    Date of Patent: March 8, 2011
    Assignee: Cree, Inc.
    Inventors: Adam William Saxler, Scott T. Sheppard
  • Publication number: 20110053367
    Abstract: An etching stopper film is formed over a first insulating film. Then, a second insulating film is formed with a thickness that allows concave and convex portions formed due to a first gate electrode to remain. Then, anisotropic etching is performed using the etching stopper film as a stopper to remove the second insulating film over a second gate electrode and form a first side wall spacer of the first gate electrode. Then, the etching stopper film is removed. Then, anisotropic etching is performed on the first insulating film to form a second side wall spacer over the second gate electrode and form a third side wall spacer which is disposed inside the first side wall spacer over the first gate electrode.
    Type: Application
    Filed: July 16, 2010
    Publication date: March 3, 2011
    Inventors: Akira MITSUIKI, Atsuro Inada
  • Patent number: 7892956
    Abstract: A vertical Field Effect Transistor (FET) comprising a vertical semiconductor nanowire is formed by the following steps. Create a columnar pore in a bottom dielectric layer formed on a bottom electrode. Fill the columnar pore by plating a vertical semiconductor nanowire having a bottom end contacting the bottom electrode. Form a doped source region and a doped drain region in the vertical semiconductor nanowire thereby forming an FET device with a FET channel region between the source region and a drain region, which are formed in distal ends of the vertical semiconductor nanowire. Form a gate dielectric layer around the channel region of the vertical semiconductor nanowire. Then form a gate electrode around the gate dielectric layer. Form a top electrode contacting a top end of the vertical semiconductor nanowire.
    Type: Grant
    Filed: September 24, 2007
    Date of Patent: February 22, 2011
    Assignee: International Business Machines Corporation
    Inventors: Hariklia Deligianni, Qiang Huang, Lubomyr T. Romankiw
  • Publication number: 20110037126
    Abstract: A semiconductor arrangement including a load transistor and a sense transistor that are integrated in a semiconductor body. One embodiment provides a number of transistor cells integrated in the semiconductor body, each transistor cell including a first active transistor region. A number of first contact electrodes, each of the contact electrodes contacting the first active transistor regions through contact plugs. A second contact electrode contacts a first group of the first contact electrodes, but not contacting a second group of the first contact electrodes. The transistor cells being contacted by first contact electrodes of the first group form a load transistor, with the second electrode forming a load terminal of the load transistor. The transistor cells being contacted by first contact electrodes of the second group form a sense transistor.
    Type: Application
    Filed: August 14, 2009
    Publication date: February 17, 2011
    Applicant: Infineon Technologies AG
    Inventors: Christoph Kadow, Markus Leicht, Stefan Woehlert
  • Patent number: 7888254
    Abstract: A semiconductor device and a method for manufacturing the same of the present invention in which the semiconductor device is provided with a fuse structure or an electrode pad structure, suppress the copper blowing-out from a copper containing metal film. The semiconductor device comprises a silicon substrate, SiO2 film provided on the silicon substrate, copper films embedded in the SiO2 film, TiN films covering an upper face of a boundary region between an upper face of copper films and the copper films, and the SiO2 film, and SiON films covering an upper face of the TiN films.
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: February 15, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Toshiyuki Takewaki, Mari Watanabe
  • Patent number: 7888162
    Abstract: This application discloses a method of manufacturing a photoelectronic device comprising steps of providing a semiconductor stack layer, forming at least one metal adhesive on the semiconductor stack layer by a printing technology, forming an electrode by heating the metal adhesive to remove the solvent in the metal adhesive, wherein an ohmic contact is formed between the electrode and the semiconductor stack layer.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: February 15, 2011
    Assignee: Epistar Corporation
    Inventors: Yu-Ling Chin, Li-Pin Jou, Yu-Chih Yang, Yu-Cheng Yang, Wei-Shou Chen, Cheng-Ta Kuo
  • Patent number: 7888253
    Abstract: A method of fabricating a semiconductor device according to an embodiment includes: forming a precursor film containing therein a predetermined metallic element on a surface of a recess portion formed in an insulating film on a semiconductor substrate; forming a wiring formation film on the precursor film; performing a heat treatment in an oxidation ambient atmosphere to cause the precursor film and the insulating film to react with each other, thereby forming a self-formed barrier film containing a compound, containing therein the predetermined metallic element and a constituent element of the insulating film, as a basic constituent in a boundary surface between the precursor film and the insulating film, and moving the predetermined metallic element unreacted into the wiring formation film through diffusion to cause the predetermined metallic element unreacted to react with oxygen contained in the oxidation ambient atmosphere on a surface of the wiring formation film, thereby precipitating an unreacted meta
    Type: Grant
    Filed: December 14, 2007
    Date of Patent: February 15, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takamasa Usui, Tadayoshi Watanabe, Hayato Nasu
  • Patent number: 7884036
    Abstract: Methods for treating a substrate in preparation for a subsequent process are presented, the method including: receiving the substrate, the substrate comprising conductive regions and dielectric regions; and applying an oxidizing agent to the substrate in a manner so that the dielectric regions are oxidized to become increasingly hydrophilic to enable access to the conductive regions in the subsequent process, wherein the dielectric region is treated to a depth in the range of approximately 1 to 5 atomic layers. In some embodiments, methods further include processing the substrate, wherein processing the conductive regions are selectively enhanced. In some embodiments, the oxidizing agent includes atmospheric pressure plasma and UV radiation.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: February 8, 2011
    Assignee: Intermolecular, Inc.
    Inventors: Jinhong Tong, Anh Duong, Zhi-Wen Sun, Chi-I Lang, Sandra Malhotra, Tony Chiang
  • Patent number: 7884013
    Abstract: A dual damascene structure with improved profiles and reduced defects and method of forming the same, the method including forming a first dielectric over a conductive area; forming a first dielectric insulator over the first dielectric; forming a first opening in the first dielectric insulator; lining the opening with a second dielectric; forming a second dielectric insulator over the first dielectric insulator; forming a second opening in the second dielectric insulator overlying and communicating with the first opening; and, filling the first and second openings with a conductive material to electrically communicate with the conductive area.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: February 8, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Uway Tseng, Alex Huang, Kun-Szu Liu
  • Publication number: 20110024719
    Abstract: Nanoelements such as single walled carbon nanotubes are assembled in three dimensions into a nanoscale template on a substrate by means of electrophoresis and dielectrophoresis at ambient temperature. The current-voltage relation indicates that strong substrate-nanotube interconnects carrying mA currents are established inside the template pores. The method is suitable for large-scale, rapid, three-dimensional assembly of 1,000,000 nanotubes per square centimeter area using mild conditions. Circuit interconnects made by the method can be used for nanoscale electronics applications.
    Type: Application
    Filed: April 13, 2009
    Publication date: February 3, 2011
    Inventors: Srinivas Sridhar, Evin Gultepe, Dattatri Nagesha
  • Patent number: 7879710
    Abstract: Methods for substrate processing are described. The methods include forming a material layer on a substrate. The methods include selecting constituents of a molecular masking layer (MML) to remove an effect of variations in the material layer as a result of substrate processing. The methods include normalizing the surface characteristics of the material layer by selectively depositing the MML on the material layer.
    Type: Grant
    Filed: December 29, 2006
    Date of Patent: February 1, 2011
    Assignee: Intermolecular, Inc.
    Inventors: Zachary Fresco, Chi-I Lang, Sandra G. Malhotra, Tony P. Chiang, Thomas R. Boussie, Nitin Kumar, Jinhong Tong, Anh Duong
  • Patent number: 7879684
    Abstract: A semiconductor light-emitting device comprises: a semiconductor substrate; a semiconductor layer structure on the semiconductor substrate, including an active layer and a waveguide ridge; an electrode in contact with all of a top surface of the waveguide ridge; and an insulating film coating side faces of the waveguide ridge, side faces of the electrode, and ends, but not a center portion, of an upper face of the electrode.
    Type: Grant
    Filed: February 24, 2010
    Date of Patent: February 1, 2011
    Assignee: Mitsubishi Electric Corporation
    Inventors: Takafumi Oka, Masatsugu Kusunoki, Shinji Abe
  • Patent number: 7879720
    Abstract: Methods of forming electrical interconnects include forming a copper pattern on a semiconductor substrate and then forming an electrically insulating capping layer on the copper pattern and an interlayer insulating layer on the electrically insulating capping layer. A contact hole is then formed, which extends through the interlayer insulating layer and the electrically insulating capping layer and exposes an upper surface of the copper pattern. An electroless plating step is then performed to form a copper pattern extension onto the exposed upper surface of the copper pattern. The copper pattern extension may have a thickness that is less than a thickness of the electrically insulating capping layer, which may be formed as a SiCN layer.
    Type: Grant
    Filed: September 30, 2008
    Date of Patent: February 1, 2011
    Assignees: Samsung Electronics Co., Ltd., Infineon Technologies AG
    Inventors: Woo Jin Jang, Sung Dong Cho, Hyung Woo Kim, Bum Ki Moon
  • Patent number: 7879645
    Abstract: A memory cell includes a memory cell layer with a first dielectric layer over a bottom electrode layer, a second dielectric layer over the first dielectric layer, and a top electrode over the second dielectric layer. The dielectric layers define a via having a first part bounded by the first electrode layer and the bottom electrode and a second part bounded by the second dielectric layer and the top electrode. A memory element is within the via and is in electrical contact with the top and bottom electrodes. The first and second parts of the via may comprise a constricted, energy-concentrating region and an enlarged region respectively. The constricted region may have a width smaller than the minimum feature size of the process used to form the enlarged region of the via. A method for manufacturing a memory cell is also disclosed.
    Type: Grant
    Filed: January 28, 2008
    Date of Patent: February 1, 2011
    Assignees: Macronix International Co., Ltd., International Business Machines
    Inventors: Hsiang-Lan Lung, Chung Hon Lam, Matthew J. Breitwisch, Chieh Fang Chen
  • Patent number: 7879643
    Abstract: Memory cells are described along with methods for manufacturing. A memory cell described herein includes a bottom electrode comprising a base portion and a pillar portion on the base portion, the pillar portion having a top surface and a width less than that of the base portion. A memory element is on the top surface of the pillar portion and comprises memory material having at least two solid phases. A top electrode is on the memory element.
    Type: Grant
    Filed: January 18, 2008
    Date of Patent: February 1, 2011
    Assignee: Macronix International Co., Ltd.
    Inventor: Hsiang-Lan Lung
  • Patent number: 7879705
    Abstract: A method is set forth of forming an ohmic electrode having good characteristics on a SiC semiconductor layer. In the method, a Ti-layer and an Al-layer are formed on a surface of the SiC substrate. The SiC substrate having the Ti-layer and the Al-layer is maintained at a temperature that is higher than or equal to a first temperature and lower than a second temperature until all Ti in the Ti-layer has reacted with Al. The first temperature is the minimum temperature of a temperature zone at which the Ti reacts with the Al to form Al3Ti, and the second temperature is the minimum temperature of a temperature zone at which the Al3Ti reacts with SiC to form Ti3SiC2. As a result of this maintaining of temperature step, an Al3Ti-layer is formed on the surface of the SiC substrate. The method also comprises further heating the SiC substrate having the Al3Ti-layer to a temperature that is higher than the second temperature.
    Type: Grant
    Filed: September 21, 2007
    Date of Patent: February 1, 2011
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventors: Akira Kawahashi, Masahiro Sugimoto, Akinori Seki, Masakatsu Maeda, Yasuo Takahashi
  • Publication number: 20110018062
    Abstract: A process for fabricating single or multiple gate field plates using consecutive steps of dielectric material deposition/growth, dielectric material etch and metal evaporation on the surface of a field effect transistors. This fabrication process permits a tight control on the field plate operation since dielectric material deposition/growth is typically a well controllable process. Moreover, the dielectric material deposited on the device surface does not need to be removed from the device intrinsic regions: this essentially enables the realization of field-plated devices without the need of low-damage dielectric material dry/wet etches. Using multiple gate field plates also reduces gate resistance by multiple connections, thus improving performances of large periphery and/or sub-micron gate devices.
    Type: Application
    Filed: October 5, 2010
    Publication date: January 27, 2011
    Applicant: THE REGENTS OF THE UNIVERSITY OF CALIFORNIA
    Inventors: Alessandro Chini, Umesh K. Mishra, Primit Parikh, Yifeng Wu
  • Publication number: 20110021015
    Abstract: A masking layer is formed on a dielectric region of an electronic device so that, during subsequent formation of a capping layer on electrically conductive regions of the electronic device that are separated by the dielectric region, the masking layer inhibits formation of capping layer material on or in the dielectric region. The capping layer can be formed selectively on the electrically conductive regions or non-selectively; in either case (particularly in the latter), capping layer material formed over the dielectric region can subsequently be removed, thus ensuring that capping layer material is formed only on the electrically conductive regions. Silane-based materials, such as silane-based SAMs, can be used to form the masking layer. The capping layer can be formed of an electrically conductive material (e.g.
    Type: Application
    Filed: June 14, 2010
    Publication date: January 27, 2011
    Inventors: David E. Lazovsky, Sandra G. Malhotra, Thomas R. Boussie
  • Patent number: 7875542
    Abstract: It is required that a line width of a wiring is prevented from being wider to be miniaturized when the wiring or the like is formed by a dropping method typified by an ink-jetting method. The invention provides a method for narrowing (miniaturizing) a line width according to a method different from a conventional method. One feature of the invention is that a plasma treatment is performed before forming a wiring or the like by a dropping method typified by an ink-jetting method. As the result of the plasma treatment, a surface for forming a conductive film is modified to be liquid-repellent. Consequently, a wiring or the like formed by a dropping method can be miniaturized.
    Type: Grant
    Filed: May 17, 2007
    Date of Patent: January 25, 2011
    Assignee: Semiconductor Energy laboratory Co., Ltd.
    Inventors: Shinji Maekawa, Koji Muranaka
  • Patent number: 7875543
    Abstract: Recesses are formed in the drain and source regions of an MOS transistor. An ohmic contact layer is formed in the recesses, and a stressed silicon-nitride layer is formed over the ohmic contact layer. The recesses allow the stressed silicon nitride layer to provide strain in the plane of the channel region. In a particular embodiment, a tensile silicon nitride layer is formed over recesses of an NMOS transistor in a CMOS cell, and a compressive silicon nitride layer is formed over recesses of a PMOS transistor in the CMOS cell. In a particular embodiment the stressed silicon nitride layer(s) is a chemical etch stop layer.
    Type: Grant
    Filed: August 28, 2008
    Date of Patent: January 25, 2011
    Assignee: Xilinx, Inc.
    Inventors: Yuhao Luo, Deepak Kumar Nayak
  • Patent number: 7875496
    Abstract: A flip chip mounting method includes holding a circuit board (213) and a semiconductor chip (206), aligning the circuit board (213) with the semiconductor chip (206) while holding them with a predetermined gap therebetween, heating the circuit board (213) or the semiconductor chip (206) to a temperature at which solder powder in a solder resin composition (216) formed of solder powder (214) and a resin (215) is melted, supplying the solder resin composition (216) by a capillary phenomenon, and curing the resin (215), wherein the melted solder powder (214) in the solder resin composition (216) is moved through the predetermined gap across which the circuit board (213) and the semiconductor chip (206) are held, and self-assembled and grown, whereby the connection terminals (211) and the electrode terminals (207) are connected to each other electrically.
    Type: Grant
    Filed: March 30, 2006
    Date of Patent: January 25, 2011
    Assignee: Panasonic Corporation
    Inventors: Seiichi Nakatani, Seiji Karashima, Takashi Kitae, Yoshihisa Yamashita, Takashi Ichiryu
  • Patent number: 7867885
    Abstract: A nanometer-scale post structure and a method for forming the same are disclosed. More particularly, a post structure, a light emitting device using the structure, and a method for forming the same, which is capable of forming a nanometer-scale post structure having a repetitive pattern by using an etching process, are disclosed. The method includes forming unit patterns on a substrate by use of a first material, growing a wet-etchable second material on the substrate formed with the unit patterns, and wet etching the substrate having the grown second material.
    Type: Grant
    Filed: February 22, 2007
    Date of Patent: January 11, 2011
    Assignees: LG Electronics Inc., LG Innotek Co., Ltd.
    Inventor: Duk Kyu Bae
  • Patent number: 7863176
    Abstract: Devices and methods for providing low-resistance interconnects in a semiconductor device are provided. Specifically, one or more embodiments of the present invention relate to disposing a conductive material in a trench without disposing a resistive barrier material between the conductive material and the sidewalls of the trench so that the conductive material takes up the full width of the trench. For example, the trench may be disposed over one or more contacts made of a barrier material such as titanium nitride that also acts as a seed, and the conductive material may be grown on top of the titanium nitride to fill the trench.
    Type: Grant
    Filed: May 13, 2008
    Date of Patent: January 4, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Jaydeb Goswami, Allen McTeer
  • Patent number: 7863154
    Abstract: A manufacturing method of a semiconductor device is provided, which includes a process in which a transistor is formed over a first substrate; a process in which a first insulating layer is formed over the transistor; a process in which a first conductive layer connected to a source or a drain of the transistor is formed; a process in which a second substrate provided with an second insulating layer is arranged so that the first insulating layer is attached to the second insulating layer; a process in which the second insulating layer is separated from the second substrate; and a process in which a third substrate provided with a second conductive layer which functions as an antenna is arranged so that the first conductive layer is electrically connected to the second conductive layer.
    Type: Grant
    Filed: July 21, 2006
    Date of Patent: January 4, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Ryosuke Watanabe, Jun Koyama
  • Patent number: 7863173
    Abstract: Methods of fabricating integrated circuit memory cells and integrated circuit memory cells are disclosed. An integrated circuit memory cell can be fabricated by forming a cup-shaped electrode on sidewalls of an opening in an insulation layer and through the opening on an ohmic layer that is stacked on a conductive structure. An insulation filling member is formed that at least partially fills an interior of the electrode. The insulation filling member is formed within a range of temperatures that is sufficiently low to not substantially change resistance of the ohmic layer. A variable resistivity material is formed on the insulation filling member and is electrically connected to the electrode.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: January 4, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Shin-Jae Kang, Gyuhwan Oh, Insun Park, Hyunseok Lim, Nak-Hyun Lim
  • Publication number: 20100327252
    Abstract: A phase change memory apparatus is provided that includes a first electrode of a bar type having a trench formed on an active region of a semiconductor substrate, a second electrode formed in a bottom portion of the trench, and a bottom electrode contact formed on the second electrode.
    Type: Application
    Filed: December 28, 2009
    Publication date: December 30, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventor: Jang Uk LEE
  • Patent number: 7858453
    Abstract: A step of forming wiring using first solution ejection means for ejecting a conductive material, a step of forming a resist mask on the wiring using second solution ejection means, and a step of etching the wiring using an atmospheric-pressure plasma device having linear plasma generation means or an atmospheric-pressure plasma device having a plurality of linearly-arranged plasma-generation-means using the resist mask as a mask are included.
    Type: Grant
    Filed: February 6, 2004
    Date of Patent: December 28, 2010
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Publication number: 20100314752
    Abstract: A method of forming a photonic crystal (PhC) structure and a PhC structure formed by such method. The method comprises forming holes in a Si-based host layer; filling the holes with a high-density plasma (HDP) deposited Si-based oxide and such that a surface of the Si-based host layer is directly covered with the Si-based oxide; performing at least a selective wet etching step for etching the Si-based oxide such that a surface of the resulting PhC structure is planarized.
    Type: Application
    Filed: November 22, 2007
    Publication date: December 16, 2010
    Applicant: AGENCY FOR SCIENCE, TECHNOLOGY AND RESEARCH
    Inventors: Mingbin Yu, Ramana Murthy Badam, Babu Narayanan
  • Patent number: 7851342
    Abstract: The formation of electronic assemblies including a die having through vias is described. In one embodiment, a method includes providing Si die including a first surface and a second surface opposite the first surface, and forming a via extending through the Si die from the first surface to the second surface. The via is formed to have a larger width at the first surface than at the second surface, the larger width at the first surface being no less than 100 microns. The method also includes placing a plurality of particles in the via, wherein at least some of the particles comprise a polymer and at least some of the particles comprise a metal. The method also includes heating the die and the particles in the via to cross-link at least part of the polymer in the via, and cooling the die to solidify the polymer and form a electrically conductive composite including the cross-linked polymer and the metal in the via. Other embodiments are described and claimed.
    Type: Grant
    Filed: March 30, 2007
    Date of Patent: December 14, 2010
    Assignee: Intel Corporation
    Inventors: Dingying Xu, Amram Eitan
  • Patent number: 7851343
    Abstract: A method of forming an ohmic layer for a semiconductor device includes forming a metal layer on a Silicon Carbide (SiC) layer and forming an ablation capping layer on the metal layer. Laser light is impinged through the ablation capping layer to form a metal-SiC material.
    Type: Grant
    Filed: June 14, 2007
    Date of Patent: December 14, 2010
    Assignee: Cree, Inc.
    Inventors: Eric Mayer, Marc Alberti
  • Patent number: 7846828
    Abstract: Ion implantation is carried out to form a p-well region and a source region in parts of a high resistance SiC layer on a SiC substrate, and a carbon film is deposited over the substrate. With the carbon film deposited over the substrate, annealing for activating the implanted dopant ions is performed, and then the carbon film is removed. Thus, a smooth surface having hardly any surface roughness caused by the annealing is obtained. Furthermore, if a channel layer is epitaxially grown, the surface roughness of the channel layer is smaller than that of the underlying layer. Since the channel layer having a smooth surface is provided, it is possible to obtain a MISFET with a high current drive capability.
    Type: Grant
    Filed: December 18, 2008
    Date of Patent: December 7, 2010
    Assignee: Panasonic Corporation
    Inventors: Osamu Kusumoto, Makoto Kitabatake, Masao Uchida, Kunimasa Takahashi, Kenya Yamashita, Masahiro Hagio, Kazuyuki Sawada
  • Patent number: 7846827
    Abstract: A method for manufacturing a semiconductor device includes the steps of forming a plug on a semiconductor substrate, forming an insulation layer over the semiconductor substrate having the plug formed thereon, defining a line type trench through a first etching of a partial thickness of the insulation layer; and defining a contact hole through a second etching of a portion of the insulation layer corresponding to the bottom of the trench so as to expose the plug.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: December 7, 2010
    Assignee: Hynix Semiconductor Inc.
    Inventors: Hyoung Joon Kim, Ho Yup Kwon, Jeong Hoon Park, Sung Hyun Kim
  • Patent number: 7847297
    Abstract: An ohmic contact in accordance with the invention includes a layer of p-type GaN-based material. A first layer of a group II-VI compound semiconductor is located adjacent to the layer of p-type GaN-based material. The ohmic contact further includes a metal layer that provides metal contact. A second layer of a different II-VI compound semiconductor is located adjacent to the metal layer.
    Type: Grant
    Filed: January 20, 2009
    Date of Patent: December 7, 2010
    Assignee: Avago Technologies ECBU IP (Singapore) Pte. Ltd.
    Inventors: Jeffrey N Miller, David P Bour, Virginia M Robbins, Steven D Lester
  • Publication number: 20100301472
    Abstract: An electronic component in which an element is formed on a chip includes: a pad that is made of a conductive material and that is formed in a first bump formation region that is two-dimensionally arranged in center of one principle face and in a second bump formation region that is linearly arranged at peripheral border of the principle face; a passivation film that is formed on the principle face to cover portion except a formation position of the pad; a metal layer that is formed on the pad; and a bump that is made of a conductive material and that is formed on the metal layer by plating, wherein radius of the metal layer in the second bump formation region is smaller than radius of at least some of the metal layer in the first bump formation region.
    Type: Application
    Filed: March 11, 2010
    Publication date: December 2, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Tatsuo Migita, Hirokazu Ezawa, Soichi Yamashita, Takashi Togasaki