To Form Ohmic Contact To Semiconductive Material Patents (Class 438/597)
  • Publication number: 20120256277
    Abstract: A semiconductor device includes a substrate and a gate stack disposed on the substrate. An upper layer of the gate stack is a metal gate conductor and a lower layer of the gate stack is a gate dielectric. A gate contact is in direct contact with the metal gate conductor.
    Type: Application
    Filed: April 11, 2011
    Publication date: October 11, 2012
    Inventors: Bruce B. Doris, Kangguo Cheng, Keith Kwong Hon Wong
  • Publication number: 20120258592
    Abstract: A method of forming integrated circuits includes forming a mask layer over a gate electrode line, wherein the gate electrode line is over a well region of a semiconductor substrate; forming an opening in the mask layer, wherein a portion of the gate electrode line and a well pickup region of the well region are exposed through the opening; and removing the portion of the gate electrode line through the opening.
    Type: Application
    Filed: April 6, 2011
    Publication date: October 11, 2012
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Jung-Hsuan Chen, Yen-Huei Chen, Li-Chun Tien, Hung-Jen Liao
  • Publication number: 20120248450
    Abstract: The present invention provides an active matrix substrate that is capable of reliably connecting a plurality of conductive layers that are arranged with an insulating layer therebetween. The active matrix substrate of the present invention has a first conductive layer (CS) and a second conductive layer (30), and an insulating layer (22) formed to cover the first conductive layer (CS) is provided. The first conductive layer (CS) has an end portion (CS1) formed to protrude within an opening portion (H1) formed in the insulating layer (22), and the second conductive layer (30) is provided to cover at least a part of the edge of the opening portion (H1) and to be connected directly to the end portion (CS1) of the first conductive layer (CS) within the opening portion (H1).
    Type: Application
    Filed: November 2, 2010
    Publication date: October 4, 2012
    Applicant: SHARP KABUSHIKI KAISHA
    Inventors: Takeshi Yaneda, Hiromitsu Katsui, Wataru Nakamura
  • Patent number: 8278210
    Abstract: In a modern 0.15 ?m power MOSFET, aluminum voids (voids formed in an aluminum-type electrode) are generated frequently in trench portions (source contact trenches) caused by the reduction of a cell pitch for refinement. It is considered to be attributable to the defects which are generated mainly due to a sudden increase of the aspect ratio from 0.84 in the previous generation to 2.8 in the current generation. Accordingly, concave portions of repetitive trenches having a high aspect ratio are filled with an aluminum-type metal by ionized sputtering throughout the processing, from the formation to the filling of an aluminum-type metal seed film.
    Type: Grant
    Filed: March 5, 2010
    Date of Patent: October 2, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Tatsuhiko Miura
  • Patent number: 8278204
    Abstract: It is required that a line width of a wiring is prevented from being wider to be miniaturized when the wiring or the like is formed by a dropping method typified by an ink-jetting method. The invention provides a method for narrowing (miniaturizing) a line width according to a method different from a conventional method. One feature of the invention is that a plasma treatment is performed before forming a wiring or the like by a dropping method typified by an ink-jetting method. As the result of the plasma treatment, a surface for forming a conductive film is modified to be liquid-repellent. Consequently, a wiring or the like formed by a dropping method can be miniaturized.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: October 2, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shinji Maekawa, Koji Muranaka
  • Patent number: 8278200
    Abstract: In one exemplary embodiment, a program storage device readable by a machine, tangibly embodying a program of instructions executable by the machine for performing operations, said operations including: depositing a first layer having a first metal on a surface of a semiconductor structure, where depositing the first layer creates a first intermix region at an interface of the first layer and the semiconductor structure; removing a portion of the deposited first layer to expose the first intermix region; depositing a second layer having a second metal on the first intermix region, where depositing the second layer creates a second intermix region at an interface of the second layer and the first intermix region; removing a portion of the deposited second layer to expose the second intermix region; and performing at least one anneal on the semiconductor structure.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: October 2, 2012
    Assignees: International Business Machines Corpration, Globalfoudries Inc.
    Inventors: Christian Lavoie, Tak H. Ning, Ahmet S. Ozcan, Bin Yang, Zhen Zhang
  • Patent number: 8278721
    Abstract: The invention provides a method for forming a contact plug, comprising: forming a gate, a sidewall spacer, a sacrificial sidewall spacer, a source region and a drain region on a substrate, wherein the sidewall spacer is formed around the gate, the sacrificial sidewall spacer is formed over the sidewall spacer, and the source region and the drain region are formed within the substrate and on respective sides of the gate; forming an interlayer dielectric layer, with the gate, the sidewall spacer and the sacrificial sidewall spacer being exposed; removing the sacrificial sidewall spacer to form a contact space, the sacrificial sidewall spacer material being different from that of the gate, the sidewall spacer and the interlayer dielectric layer; forming a conducting layer to fill the contact space; and cutting off the conducting layer, to form at least two conductors connected to the source region and the drain region respectively.
    Type: Grant
    Filed: February 24, 2011
    Date of Patent: October 2, 2012
    Assignee: Institute of Microelectronics, Chinese Academy of Sciences
    Inventors: Huicai Zhong, Qingqing Liang
  • Patent number: 8273650
    Abstract: A high-quality epitaxial silicon thin layer is formed on an upgraded metallurgical grade silicon (UMG-Si) substrate. A thin film interface is fabricated between the UMG-Si substrate and the epitaxial silicon thin layer. The interface is capable of internal light reflection and impurities isolation. With the interface, photoelectrical conversion efficiency is improved. Thus, the present invention is fit to be applied for making solar cell having epitaxial silicon thin layer.
    Type: Grant
    Filed: November 16, 2009
    Date of Patent: September 25, 2012
    Assignee: Atomic Energy Council—Institute of Nuclear Energy Research
    Inventor: Tsun-Neng Yang
  • Patent number: 8268721
    Abstract: There are provided a semiconductor device and a semiconductor device manufacturing method capable of preventing electrical leakage while suppressing increase of wiring resistance and deterioration of productivity. The semiconductor device manufacturing method for forming on a substrate a semiconductor device having a porous low-k film serving as an interlayer insulating film. Further, the semiconductor device manufacturing method includes forming the low-k film on the substrate; etching the low-k film to form a trench or a hole therein; reforming a surface of the low-k film exposed by etching the low-k film by allowing plasma of a nitro compound to act on the exposed surface within the trench or the hole; and filling the trench or the hole with a conductor.
    Type: Grant
    Filed: June 29, 2011
    Date of Patent: September 18, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Ryuichi Asako
  • Patent number: 8268723
    Abstract: The present invention relates to methods for forming through-wafer interconnects in semiconductor substrates and the resulting structures. In one embodiment, a method for forming a through-wafer interconnect includes providing a substrate having a pad on a surface thereof, depositing a passivation layer over the pad and the surface of the substrate, and forming an aperture through the passivation layer and the pad using a substantially continuous process. An insulative layer is deposited in the aperture followed by a conductive layer and a conductive fill. In another embodiment of the invention, a semiconductor device is formed including a first interconnect structure that extends through a conductive pad and is electrically coupled with the conductive pad while a second interconnect structure is formed through another conductive pad while being electrically isolated therefrom. Semiconductor devices and assemblies produced with the methods are also disclosed.
    Type: Grant
    Filed: January 24, 2011
    Date of Patent: September 18, 2012
    Assignee: Micron Technology, Inc.
    Inventor: Mark E. Tuttle
  • Patent number: 8268682
    Abstract: When a natural oxide film is left at the interface between a metal silicide layer and a silicon nitride film, in various heating steps (steps involving heating of a semiconductor substrate, such as various insulation film and conductive film deposition steps) after deposition of the silicon nitride film, the metal silicide layer partially abnormally grows due to oxygen of the natural oxide film occurring on the metal silicide layer surface. A substantially non-bias (including low bias) plasma treatment is performed in a gas atmosphere containing an inert gas as a main component on the top surface of a metal silicide film of nickel silicide or the like over source/drain of a field-effect transistor forming an integrated circuit. Then, a silicon nitride film serving as an etching stop film of a contact process is deposited. As a result, without causing undesirable cutting of the metal silicide film, the natural oxide film over the top surface of the metal silicide film can be removed.
    Type: Grant
    Filed: March 16, 2011
    Date of Patent: September 18, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Takuya Futase, Shuhei Murata, Takeshi Hayashi
  • Publication number: 20120225548
    Abstract: To form a dielectric layer, an organometallic precursor is adsorbed on a substrate loaded into a process chamber. The organometallic precursor includes a central metal and ligands bound to the central metal. An inactive oxidant is provided onto the substrate. The inactive oxidant is reactive with the organometallic precursor. An active oxidant is also provided onto the substrate. The active oxidant has a higher reactivity than that of the inactive oxidant.
    Type: Application
    Filed: February 21, 2012
    Publication date: September 6, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: SANG-YEOL KANG, SUK-JIN CHUNG, YOUN-SOO KIM, JAE-HYOUNG CHOI, JAE-SOON LIM, MIN-YOUNG PARK
  • Patent number: 8258059
    Abstract: High voltage-resistant semiconductor devices adapted to control threshold voltage by utilizing threshold voltage variation caused by plasma damage resulting from the formation of multilayer wiring, and a manufacturing method thereof. Exemplary high voltage-resistant semiconductor devices include a plurality of MOS transistors having gate insulating films not less than about 350 ? in thickness on a silicon substrate, and the MOS transistors have different area ratios between gate electrode-gate insulating film contact areas and total opening areas of contacts formed on the gate electrodes.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: September 4, 2012
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Tomohiro Yakuwa
  • Patent number: 8258054
    Abstract: A method for fabricating a semiconductor device includes etching a substrate to form a plurality of trenches, forming first liner layers over bottom surfaces and inner sidewalls of the trenches to a first height, forming sacrificial liner layers on one of the inner sidewalls of the trenches where the first liner layers are formed, forming third sacrificial layers to a second height, so that the third sacrificial layers are buried over the trenches where the sacrificial liner layers are formed, removing portions of the sacrificial liner layers exposed by the third sacrificial layers to form sacrificial patterns, forming second liner layers on the inner sidewalls of the trenches exposed by the third sacrificial layers, and removing the third sacrificial layers to form side contact regions opening one of the inner sidewalls of the trenches in a line form.
    Type: Grant
    Filed: December 29, 2009
    Date of Patent: September 4, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Eun-Jung Ko
  • Publication number: 20120217619
    Abstract: A semiconductor device includes a triangle prism pillar having a first, a second, and a third sidewall surface, a bit line contacted with the first sidewall surface of the pillar, and a word line adjacent to the second sidewall surface of the pillar over the bit line.
    Type: Application
    Filed: December 28, 2011
    Publication date: August 30, 2012
    Inventors: Min-Soo Kim, Yong-Seok Eun, Kee-Jeung Lee, Eun-Shil Park, Tae-Yoon Kim
  • Publication number: 20120220103
    Abstract: A semiconductor device provided on a semiconductor substrate includes an element region including an element, a moisture-resistant frame surrounding the element region, an insulating layer provided between the moisture-resistant frame and an outer peripheral edge of the semiconductor device and on the semiconductor substrate, a first metal line extending along the outer peripheral edge and provided in the insulating layer, and a groove provided in the insulating layer.
    Type: Application
    Filed: May 3, 2012
    Publication date: August 30, 2012
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventors: Tomoyuki Yamada, Fumio Ushida, Shigetoshi Takeda, Tomoharu Awaya, Koji Banno, Takayoshi Minami
  • Patent number: 8253247
    Abstract: In a method for manufacturing a semiconductor device involving the step of bonding a metallic ribbon to a pad of a semiconductor chip, breakage of the metallic ribbon is to be prevented while ensuring the bonding strength even when the metallic ribbon becomes thin with reduction in size of the semiconductor chip. In bonding an Al ribbon to a pad of a semiconductor chip by bringing a pressure bonding surface of a wedge tool into pressure contact with the Al ribbon while applying ultrasonic vibration to the ribbon positioned over the pad, recesses 10a are formed beforehand at both end portions respectively of the wedge tool lest both end portions in the width direction of the Al ribbon bonded to the pad should contact the pressure bonding surface of the wedge tool.
    Type: Grant
    Filed: April 8, 2010
    Date of Patent: August 28, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Noriko Numata, Hiroshi Sato, Toru Ueguri
  • Patent number: 8252639
    Abstract: The present invention provides a thin film transistor array panel comprising: an insulating substrate; a gate line formed on the insulating substrate and having a gate electrode; a gate insulating layer formed on the gate line; a semiconductor formed on the gate insulating layer and overlapping the gate electrode; diffusion barriers formed on the semiconductor and containing nitrogen; a data line crossing the gate line and having a source electrode partially contacting the diffusion barriers; a drain electrode partially contacting the diffusion barriers and facing the source electrode on the gate electrode; and a pixel electrode electrically connected to the drain electrode.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: August 28, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Je-Hun Lee, Beom-Seok Cho, Chang-Oh Jeong, Joo-Han Kim
  • Publication number: 20120214300
    Abstract: Provided are a semiconductor device manufacturing method and a substrate processing apparatus that are capable of increasing a work function of a film to be formed, in comparison with a related art. A cycle including (a) supplying a metal-containing gas into a processing chamber where a substrate is accommodated (b) supplying a nitrogen-containing gas into the processing chamber; and (c) supplying one of an oxygen-containing gas, a halogen-containing gas and a combination thereof into the processing chamber, is performed a plurality of times to form a metal-containing film on the substrate.
    Type: Application
    Filed: February 16, 2012
    Publication date: August 23, 2012
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Yukinao Kaga, Tatsuyuki Saito, Masanori Sakai, Takashi Yokogawa
  • Publication number: 20120205793
    Abstract: A method of processing a microfeature workpiece generally includes depositing a first conducting layer, at least partially reducing oxides on the first conducting layer to provide a reduced first conducting layer, and exposing the reduced first conducting layer to a substantially oxygen-free environment to provide a passivated first conducting layer. A microfeature workpiece generally includes a first conducting layer, a monolayer directly on the first conducting layer, and a second conducting layer.
    Type: Application
    Filed: February 10, 2011
    Publication date: August 16, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Callie A. Schieffer, Ismail T. Emesh
  • Publication number: 20120208361
    Abstract: A method of forming fine patterns in a semiconductor device includes forming narrow-width patterns in a first region and wide-width patterns in a second region, where the widths of the narrow-width patterns are smaller than the resolution limitations in a photolithography process used to make the semiconductor device. The first and second regions may comprise cell array regions, with memory cells in the first region and peripheral circuits for operating the memory cells in the second region. The semiconductor device can be, for example, a NAND FLASH memory device. The semiconductor memory device can be variously classified according to the type of memory cells to be integrated in the cell array region, e.g., a DRAM, an SRAM, a PRAM, a RRAM, an MRAM, and a FRAM. In other embodiments, a MEMS device, an optoelectronic device, or a processor, such as CPU or DSP, may be provided on the semiconductor substrate.
    Type: Application
    Filed: November 14, 2011
    Publication date: August 16, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Hyoun-Jee Ha
  • Patent number: 8242016
    Abstract: A method for fabricating an integrated circuit structure and the resulting integrated circuit structure are provided. The method includes forming a low-k dielectric layer; form an opening in the low-k dielectric layer; forming a barrier layer covering a bottom and sidewalls of the low-k dielectric layer; performing a treatment to the barrier layer in an environment comprising a treatment gas; and filling the opening with a conductive material, wherein the conductive material is on the barrier layer.
    Type: Grant
    Filed: May 14, 2007
    Date of Patent: August 14, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Ming Lee, Minghsing Tsai, Syun-Ming Jang
  • Patent number: 8242009
    Abstract: The present invention provides nanophotovoltaic devices having sizes in a range of about 50 nm to about 5 microns, and method of their fabrication. In some embodiments, the nanophotovoltaic device includes a semiconductor core, e.g., formed of silicon, sandwiched between two metallic layers, one of which forms a Schottky barrier junction with the semiconductor core and the other forms an ohmic contact therewith. In other embodiment, the nanophotovoltaic device includes a semiconductor core comprising a p-n junction that is sandwiched between two metallic layers forming ohmic contacts with the core.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: August 14, 2012
    Assignee: Spire Corporation
    Inventors: Steven J. Wojtczuk, James G. Moe, Roger G. Little
  • Patent number: 8242012
    Abstract: Disclosed are embodiments of a structure having a metal layer with top surface and sidewall passivation and a method of forming the structure. In one embodiment, a metal layer is electroplated onto a portion of a seed layer at the bottom of a trench. Then, the sidewalls of the metal layer are exposed and, for passivation, a second metal layer is electroplated onto the top surface and sidewalls of the metal layer. In another embodiment, a trench is formed in a dielectric layer. A seed layer is formed over the dielectric layer, lining the trench. A metal layer is electroplated onto the portion of the seed layer within the trench and a second metal layer is electroplated onto the top surface of the metal layer. Thus, in this case, passivation of the top surface and sidewalls of the metal layer is provided by the second metal layer and the dielectric layer, respectively.
    Type: Grant
    Filed: July 28, 2010
    Date of Patent: August 14, 2012
    Assignee: International Business Machines Corporation
    Inventors: Timothy H. Daubenspeck, Jeffrey P. Gambino, Christopher D. Muzzy, Wolfgang Sauter, Timothy D. Sullivan
  • Publication number: 20120199978
    Abstract: In a method for manufacturing a semiconductor device, a process of providing a semiconductor wafer having a wiring layer having conductive patterns and a plurality of insulation films containing a first insulation film surrounding side surfaces of the conductive patterns are provided. After the process of providing the semiconductor wafer, a process of removing some regions of the plurality of insulation films to form openings is provided. Herein, the first insulation film is disposed to a position closer to the circumference of the semiconductor wafer than a position closest to the outermost circumference of the wafer among the arrangement positions of the conductive patterns.
    Type: Application
    Filed: February 6, 2012
    Publication date: August 9, 2012
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Kenji Togo, Hiroaki Sano
  • Publication number: 20120193677
    Abstract: A III-N device is described with a III-N layer, an electrode thereon, a passivation layer adjacent the III-N layer and electrode, a thick insulating layer adjacent the passivation layer and electrode, a high thermal conductivity carrier capable of transferring substantial heat away from the III-N device, and a bonding layer between the thick insulating layer and the carrier. The bonding layer attaches the thick insulating layer to the carrier. The thick insulating layer can have a precisely controlled thickness and be thermally conductive.
    Type: Application
    Filed: February 2, 2011
    Publication date: August 2, 2012
    Applicant: TRANSPHORM INC.
    Inventors: Primit Parikh, Yuvaraj Dora, Yifeng Wu, Umesh Mishra, Nicholas Fichtenbaum
  • Patent number: 8232206
    Abstract: Electroless plating can be utilized to form electrical interconnects associated with semiconductor substrates. For instance, a semiconductor substrate can be formed to have a dummy structure thereover with a surface suitable for electroless plating, and to also have a digit line thereover having about the same height as the dummy structure. A layer can be formed over the dummy structure and digit line, and openings can be formed through the layer to the upper surfaces of the dummy structure and digit line. Subsequently, a conductive material can be electroless plated within the openings to form electrical contacts within the openings. The opening extending to the dummy structure can pass through a capacitor electrode, and accordingly the conductive material formed within such opening can be utilized to form electrical contact to the capacitor electrode.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: July 31, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Nishant Sinha, Dinesh Chopra, Fred D. Fishburn
  • Patent number: 8232200
    Abstract: Methods of forming integrated circuit devices include forming an interlayer insulating layer having a trench therein, on a substrate and forming an electrical interconnect (e.g., Cu damascene interconnect) in the trench. An upper surface of the interlayer insulating layer is recessed to expose sidewalls of the electrical interconnect. An electrically insulating first capping pattern is formed on the recessed upper surface of the interlayer insulating layer and on the exposed sidewalls of the electrical interconnect, but is removed from an upper surface of the electrical interconnect. A metal diffusion barrier layer is formed on an upper surface of the electrical interconnect, however, the first capping pattern is used to block formation of the metal diffusion barrier layer on the sidewalls of the electrical interconnect. This metal diffusion barrier layer may be formed using an electroless plating technique.
    Type: Grant
    Filed: March 18, 2011
    Date of Patent: July 31, 2012
    Assignees: International Business Machines Corporation, Samsung Electronics Co., Ltd., Advanced Micro Devices, Inc., Infineon Technologies AG
    Inventors: Hyeok-Sang Oh, Woo-Jin Jang, Bum-Ki Moon, Ji-Hong Choi, Minseok Oh, Tien-Jen Cheng
  • Patent number: 8232181
    Abstract: A manufacturing method of a semiconductor device is provided, which includes a process in which a transistor is formed over a first substrate; a process in which a first insulating layer is formed over the transistor; a process in which a first conductive layer connected to a source or a drain of the transistor is formed; a process in which a second substrate provided with a second insulating layer is arranged so that the first insulating layer is attached to the second insulating layer; a process in which the second insulating layer is separated from the second substrate; and a process in which a third substrate provided with a second conductive layer which functions as an antenna is arranged so that the first conductive layer is electrically connected to the second conductive layer.
    Type: Grant
    Filed: November 23, 2010
    Date of Patent: July 31, 2012
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Ryosuke Watanabe, Jun Koyama
  • Publication number: 20120190186
    Abstract: A semiconductor device manufacturing method includes: forming a first insulating film over the surface of a semiconductor substrate having at least two adjacent protrusions in such a manner that the film thickness between the two protrusions is not less than 1.2 times the height of at least one of the two protrusions; and forming a second insulating film over the first insulating film, the second insulating film being harder than the first insulating film.
    Type: Application
    Filed: January 13, 2012
    Publication date: July 26, 2012
    Inventor: Fuminobu NAKASHIMA
  • Publication number: 20120184098
    Abstract: Semiconductors are electrochemically etched in solutions containing sources of bifluoride and nickel ions. The electrochemical etching may form pores in the surface of the semiconductor in the nanometer range. The etched semiconductor is then nickel plated.
    Type: Application
    Filed: December 13, 2011
    Publication date: July 19, 2012
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Gary Hamm, Jason A. Reese, George R. Allardyce
  • Publication number: 20120181680
    Abstract: An IC package is provided. The IC package comprises a leadframe comprising a metal strip(222) partially etched on a first side. The leadframe may be configured for an IC chip to be mounted thereon and for a plurality of bonding areas(218) to be electrically coupled to the leadframe and the IC chip. The IC chip, the bonding areas, and a portion of the metal leadframe are covered with an encapsulation compound, with a plurality of contact pads(206) protruding from the bottom surface of the leadframe. The bottom surface of the leadframe may be etched one or more times during the manufacturing process to reduce the depth of the undercutting. A method for manufacturing an IC package is also provided.
    Type: Application
    Filed: November 26, 2009
    Publication date: July 19, 2012
    Inventor: Tunglok Li
  • Patent number: 8222133
    Abstract: An object of the invention is to avoid an inconvenience at a connection portion formed by filling a metal film in a connecting hole, which has been opened in an insulating film, via a barrier metal film having a titanium nitride film stacked over a titanium film. A manufacturing method of a semiconductor device has the steps of: forming a thermal reaction Ti film over the bottom of a connecting hole by a thermal reaction using a TiCl4 gas; forming a plasma reaction Ti film by a plasma reaction using a TiCl4 gas; forming a nitrogen-rich TiN film over the surface of the plasma reaction Ti film by plasma treatment with H2 and plasma treatment with NH3 gases; repeatedly carrying out film formation by CVD using a WF6 gas and reduction using an SiH4 or B2H6 gas to form a tungsten nucleation film of a multilayer structure over the nitrogen-rich TiN film; and forming a blanket•tungsten film at 400° C. or less by CVD using WF6 and H2 gases.
    Type: Grant
    Filed: October 17, 2007
    Date of Patent: July 17, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Takuya Futase, Takeshi Hayashi
  • Patent number: 8216928
    Abstract: Fabrication methods for semiconductor device structures are provided. One method for fabricating a semiconductor device structure that includes a gate structure overlying a semiconductor substrate and a doped region formed in the semiconductor substrate adjacent to the gate structure involves the steps of forming a first layer of dielectric material overlying the gate structure and the doped region, isotropically etching the first layer of dielectric material, forming a second layer of dielectric material overlying the first layer of dielectric material after isotropically etching the first layer, and forming a conductive contact that is electrically connected to the doped region within the first layer and the second layer.
    Type: Grant
    Filed: January 26, 2011
    Date of Patent: July 10, 2012
    Assignee: Globalfoundries, Inc.
    Inventors: Ralf Richter, Torsten Huisinga, Jens Heinrich
  • Publication number: 20120168893
    Abstract: A mesa edge shielding trench Schottky rectifier includes a semiconductor substrate; an epitaxial layer grown on the first surface of the semiconductor substrate; a plurality of trenches spaced from each other and extended into the epitaxial layer, wherein an epitaxial region between two adjacent trenches forms the silicon mesa; a polysilicon region, having a T-shape, is separated from an inner wall of each of the trenches and a top surface of the epitaxial layer by an oxide layer, wherein a width of the top surface of the polysilicon region is bigger than an open size of each of the trenches; an anode electrode, deposited on an entire structure, forming an ohmic contact on the top surface of the polysilicon region and a Schottky contact on an exposed surface of the epitaxial layer; and a cathode electrode, deposited on the second surface of the semiconductor substrate, forming an ohmic contact thereon.
    Type: Application
    Filed: December 30, 2010
    Publication date: July 5, 2012
    Inventors: Wei Liu, Fan Wang, Xiaozhong Sun
  • Patent number: 8211787
    Abstract: Some embodiments include methods of forming semiconductor constructions in which a semiconductor material sidewall is along an opening, a protective organic material is over at least one semiconductor material surface, and the semiconductor material sidewall and protective organic material are both exposed to an etch utilizing at least one fluorine-containing composition. The etch is selective for the semiconductor material relative to the organic material, and reduces sharpness of at least one projection along the semiconductor material sidewall. In some embodiments, the opening is a through wafer opening, and subsequent processing forms one or more materials within such through wafer opening to form a through wafer interconnect. In some embodiments, the opening extends to a sensor array, and the protective organic material is comprised by a microlens system over the sensor array. Subsequent processing may form a macrolens structure across the opening.
    Type: Grant
    Filed: December 3, 2010
    Date of Patent: July 3, 2012
    Assignee: Micron Technology, Inc.
    Inventors: Swarnal Borthakur, Richard L. Stocks
  • Patent number: 8207055
    Abstract: A method for generating an electrode layer pattern in an organic functional device (101; 201) comprising a first transparent electrode layer (103; 203), a second electrode layer (104; 204) and an organic functional layer (102; 202) sandwiched between said first and second electrode layers (103, 104; 203, 204). The method comprises the steps of arranging (601) a laser (704; 804) to irradiate said organic functional device (701; 801) through said first transparent electrode layer (103; 203), selecting (602) a set of laser parameters in order to enable said laser (704; 804) to locally modify an electric conductivity of said second electrode layer (104; 204), and locally modifying, by said laser (704; 804) in accordance with said set of laser parameters, the electric conductivity of said second electrode layer (104; 204), thereby generating said electrode layer pattern.
    Type: Grant
    Filed: June 27, 2006
    Date of Patent: June 26, 2012
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Michael Büchel, Ivar Jacco Boerefijn, Edward Willem Albert Young, Adrianus Sempel
  • Publication number: 20120153510
    Abstract: Disclosed is a liquid crystal driver having a plurality of output cells (101), wherein operational amplifiers (105), which are components of the output cells (101), are connected to a power wire (109a) formed in the liquid crystal driver, which is a semiconductor element. Further, the semiconductor element is mounted on a substrate on which a bypass wire (201) has been formed. The bypass wire (201) is connected to the power wire (109a) through bumps (203) for each separate one of the operational amplifiers (105) of all of the output cells.
    Type: Application
    Filed: August 11, 2010
    Publication date: June 21, 2012
    Inventors: Shunichi Murahashi, Michihiro Nakahara, Atsushi Maruyama, Hajime Nonomura
  • Publication number: 20120146153
    Abstract: A chip package includes: a substrate; a drain and a source regions located in the substrate; a gate located on or buried in the substrate; a drain conducting structure, a source conducting structure, and a gate conducting structure, disposed on the substrate and electrically connected to the drain region, the source region, and the gate, respectively; a second substrate disposed beside the substrate; a second drain and a second source region located in the second substrate, wherein the second drain region is electrically connected to the source region; a second gate located on or buried in the second substrate; and a second source and a second gate conducting structure disposed on the second substrate and electrically connected to the second source region and the second gate, respectively, wherein terminal points of the drain, the source, the gate, the second source, and the second gate conducting structures are substantially coplanar.
    Type: Application
    Filed: December 7, 2011
    Publication date: June 14, 2012
    Inventors: Ying-Nan WEN, Ho-Yin YIU, Yen-Shih HO, Shu-Ming CHANG, Chien-Hung LIU, Shih-Yi LEE, Wei-Chung YANG
  • Publication number: 20120149182
    Abstract: Silicon wafer processing system, apparatus and method of doping silicon wafers with hot concentrated acid dopant compositions for forming p-n junction and back contact layers during processing into PV solar cells. Highly concentrated acid dopant is atomized with pressurized gas and heated in the range of 80-200° C., then introduced into a concentrated acid vapor processing chamber to apply vapor over 1.5-6 min to wafers moving horizontally on a multi-lane conveyor system through the processing chamber. The wafers are dried and forwarded to a diffusion furnace. An optional UV pre-treatment assembly pre-conditions the wafers with UV radiation prior to dopant application, and doped wafers may be post-treated in a UV treatment module before being fired. The wafers may be cooled in the processing chamber. Post-firing, the wafers exhibit excellent sheet resistance in the 60-95 ?/sq range, and are highly uniform across the wafers and wafer-to-wafer.
    Type: Application
    Filed: September 12, 2011
    Publication date: June 14, 2012
    Applicant: TP SOLAR, INC.
    Inventors: Luis Alejandro Rey Garcia, Peter G. Ragay, Richard W. Parks
  • Publication number: 20120149180
    Abstract: A combinatorial processing chamber is provided. The combinatorial processing chamber is configured to isolate a radial portion of a rotatable substrate support, which in turn is configured to support a substrate. The chamber includes a plurality of clusters process heads in one embodiment. An insert having a base plate disposed between the substrate support and the process heads defines a confinement region for a deposition process in one embodiment. The base plate has an opening to enable access of the deposition material to the substrate. Through rotation of the substrate and movement of the opening, multiple regions of the substrate are accessible for performing combinatorial processing on a single substrate.
    Type: Application
    Filed: December 21, 2011
    Publication date: June 14, 2012
    Applicant: Intermolecular, Inc.
    Inventors: Rick Endo, Kurt Weiner, Indranil De, James Tsung, Maosheng Zhao, Jeremy Cheng
  • Publication number: 20120145446
    Abstract: An electrical connection includes a first wire bonded to adjacent bond pads proximate to an edge of a die and a second wire having one end bonded to a die bond pad distal to the die edge and a second end bonded to a lead finger of a lead frame or a connection pad of a substrate. The second wire crosses and is supported by the first wire. The first wire acts as a brace that prevents the second wire from touching the edge of the die. The first wire also prevents the second wire from excessive lateral movement during encapsulation.
    Type: Application
    Filed: August 16, 2011
    Publication date: June 14, 2012
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Jie YANG, Qingchin He, Hanmin Zhang
  • Publication number: 20120149189
    Abstract: An integrated circuit with a passivation trapping layer. An integrated circuit with a hydrogen or deuterium releasing layer underlying a passivation trapping layer. Method for forming an integrated circuit having a hydrogen or deuterium releasing layer. Method for forming an integrated circuit having a passivation trapping layer.
    Type: Application
    Filed: February 14, 2012
    Publication date: June 14, 2012
    Applicant: Texas Instruments Incorporated
    Inventors: Gul B. Basim, Scott R. Summerfelt, Ted S. Moise
  • Patent number: 8198149
    Abstract: A method for fabricating an active device array substrate is provided. A first patterned semiconductor layer, a gate insulator, a first patterned conductive layer and a first dielectric layer is sequentially formed on a substrate. First contact holes exposing the first patterned semiconductor layer are formed in the first dielectric layer and the gate insulator. A second patterned conductive layer and a second patterned semiconductor layer disposed thereon are simultaneously formed on the first dielectric layer. The second conductive layer includes contact conductors and a bottom electrode. The second patterned semiconductor layer includes an active layer. A second dielectric layer having second contact holes is formed on the first dielectric layer, wherein a portion of the second contact holes exposes the active layer. A third patterned conductive layer electrically connected to the active layer through a portion of the second contact holes is formed on the second dielectric layer.
    Type: Grant
    Filed: January 13, 2012
    Date of Patent: June 12, 2012
    Assignee: Au Optronics Corporation
    Inventors: Ming-Wei Sun, Chen-Yueh Li, Yu-Cheng Chen, Chia-Tien Peng
  • Patent number: 8187963
    Abstract: A method of forming an ohmic contact to a surface of a Cd and Te containing compound film as may be found, for example in a photovoltaic cell. The method comprises forming a Te-rich layer on the surface of the Cd and Te containing compound film; depositing an interface layer on the Te-rich layer; and laying down a contact layer on the interface layer. The interface layer is composed of a metallic form of Zn and Cu.
    Type: Grant
    Filed: September 13, 2010
    Date of Patent: May 29, 2012
    Assignee: EncoreSolar, Inc.
    Inventor: Bulent M. Basol
  • Patent number: 8178441
    Abstract: A method for manufacturing a semiconductor device includes forming a gate insulating layer, a gate and a protective layer on a semiconductor substrate, forming a spacer on lateral sides of the protective layer and the gate, forming one or more junction regions in the semiconductor substrate at sides of the gate, partially filling a gap between adjacent gates by selectively forming a conductive layer on an exposed portion of the semiconductor substrate between the adjacent gates, forming an insulating layer over the semiconductor substrate so as to fill a full height of the gap between the adjacent gates, and forming a contact hole partially exposing the conductive layer by etching the insulating layer.
    Type: Grant
    Filed: July 21, 2005
    Date of Patent: May 15, 2012
    Assignee: Dongbu Electronics Co., Ltd.
    Inventor: Seok-Su Kim
  • Publication number: 20120112167
    Abstract: One example of the present invention is a nanoscale electronic device comprising a first conductive electrode, a second conductive electrode, and an anisotropic dielectric material layered between the first and second electrodes having a permittivity in a direction approximately that of the shortest distance between the first and second electrodes less than the permittivity in other directions within the anisotropic dielectric material. Additional examples of the present invention include integrated circuits that contain multiple nanoscale electronic devices that each includes an anisotropic dielectric material layered between first and second electrodes having a permittivity in a direction approximately that of the shortest distance between the first and second electrodes less than the permittivity in other directions within the anisotropic dielectric material.
    Type: Application
    Filed: November 9, 2010
    Publication date: May 10, 2012
    Inventors: Gilberto Medairos Ribeiro, Philip J. Kuekes, Alexandre M. Bratkovski, Janice H. Nickel
  • Patent number: 8173534
    Abstract: A semiconductor wafer with rear side identification and to a method for producing the same is disclosed. In one embodiment, the rear side identification has a multiplicity of information regarding the monocrystalline and surface and also rear side constitution. A multiplicity of semiconductor device positions arranged in rows and columns are provided on the top side of the semiconductor wafer, an information chip being arranged at an exposed semiconductor device position, the information chip having at least the information of the rear side identification.
    Type: Grant
    Filed: February 28, 2011
    Date of Patent: May 8, 2012
    Assignee: Infineon Technologies AG
    Inventors: Stephan Bradl, Rainer Holmer
  • Publication number: 20120108052
    Abstract: Electronic apparatus and methods of forming the electronic apparatus include a lanthanide yttrium aluminum oxide dielectric film on a substrate for use in a variety of electronic systems. The lanthanide yttrium aluminum oxide film may be structured as one or more monolayers. The lanthanide yttrium aluminum oxide film may be formed by a monolayer or partial monolayer sequencing process such as using atomic layer deposition.
    Type: Application
    Filed: January 9, 2012
    Publication date: May 3, 2012
    Inventors: Kie Y. Ahn, Leonard Forbes
  • Publication number: 20120100709
    Abstract: A plating apparatus allows a substrate holder to be serviced easily while ensuring easy access to the substrate holder and while a substrate is being processed in the plating apparatus. The plating apparatus includes a plating section for plating a substrate, a substrate holder for holding the substrate, a substrate holder transporter for holding and transporting the substrate holder, a stocker for storing the substrate holder, and a stocker setting section for storing the stocker therein. The stocker includes a moving mechanism for moving the stocker into and out of the stocker setting section.
    Type: Application
    Filed: October 20, 2011
    Publication date: April 26, 2012
    Inventor: Yoshio MINAMI