Manufacture Of Specific Parts Of Devices (epo) Patents (Class 257/E21.536)

  • Publication number: 20110018112
    Abstract: Provided is a semiconductor package which includes: a semiconductor substrate; a functional element that is disposed on one surface of the semiconductor substrate; a protection substrate that is disposed in an opposite side of that surface of the semiconductor substrate with a predetermined gap from a surface of the semiconductor substrate; and a junction member that is disposed to surround the functional element and bonds the semiconductor substrate and the protection substrate together, wherein the functional element has a shape different from a shape of a plane surrounded by the junction member in that surface of the semiconductor substrate, or is disposed in a region deviated from a central region of the plane surrounded by the junction member in that surface of the semiconductor substrate.
    Type: Application
    Filed: October 1, 2010
    Publication date: January 27, 2011
    Applicant: FUJIKURA LTD.
    Inventors: Shingo OGURA, Yuki SUTO
  • Publication number: 20110014772
    Abstract: An aligning method of patterned electrode in a selective emitter structure includes the following steps. A substrate is provided. A barrier layer is then formed on the substrate. The barrier layer is patterned, and thus the substrate is partially exposed to form a patterned electrode region. Thereafter, the surface property of the substrate located in the patterned electrode region is changed, so as to form a visible patterned mark. Subsequently, the barrier layer is removed, and the visible patterned mark is used as alignment mark.
    Type: Application
    Filed: August 28, 2009
    Publication date: January 20, 2011
    Inventor: Huai-Tsung Chen
  • Publication number: 20110006405
    Abstract: A semiconductor device includes a substrate, an electronic component and a resin member. The substrate has a first electrode. The electronic component is provided on the substrate, and has a second electrode electrically connected to the first electrode. The resin member alleviates an external stress to the second electrode of the electronic component. The resin member is disposed on the substrate at a region separated from the electronic component.
    Type: Application
    Filed: June 18, 2010
    Publication date: January 13, 2011
    Applicant: FUJITSU LIMITED
    Inventors: Keiichi YAMAMOTO, Takashi FUKUDA
  • Publication number: 20100325853
    Abstract: A method for fabricating a capacitor includes forming an etch stop layer, a first isolating insulation layer, and a floating layer over a substrate including storage node contact plugs to form a resulting substrate structure; etching the floating layer, the first isolating insulation layer, and the etch stop layer to form a plurality of open regions; forming a conductive layer over the substrate structure; forming a second isolating insulation layer over the conductive layer, the second isolating insulation layer filling upper portions of the open regions; etching portions of the remaining floating layer to form a floating pattern; performing a storage node isolation process in a manner that the floating pattern is exposed to form a plurality of storage nodes having sidewalls supported by the floating pattern; and removing the etched first isolating insulation layer.
    Type: Application
    Filed: November 5, 2009
    Publication date: December 30, 2010
    Inventor: Seok-Ho JIE
  • Publication number: 20100327443
    Abstract: The present invention concerns a joining structure and a substrate-joining method using the same. The joining structure comprises a substrate, and comprises a plurality of joining patterns which are located on the said substrate and which are spaced apart from each other. The substrate-joining method using the joining structure can comprise: a stage involving the formation of a plurality of joining patterns which are spaced apart from each other on a first substrate; and a stage of joining a second substrate on the plurality of joining patterns. When the said joining structure is employed, it is possible to reduce or prevent damage due to spreading of the joining substance during joining of the two substrates.
    Type: Application
    Filed: February 19, 2009
    Publication date: December 30, 2010
    Applicant: BARUN ELECTRONICS, CO., LTD.
    Inventor: Sung-Wook Kim
  • Publication number: 20100320445
    Abstract: In a separation method of a nitride semiconductor layer, a graphene layer in the form of a single layer or two or more layers is formed on a surface of a first substrate. A nitride semiconductor layer is formed on the graphene layer so that the nitride semiconductor layer is bonded to the graphene layer with a bonding force due to regularity of potential at atomic level at an interface therebetween without utilizing covalent bonding. The nitride semiconductor layer is separated from the first substrate with a force which is greater than the bonding force between the nitride semiconductor layer and the graphene layer, or greater than a bonding force between respective layers of the graphene layer.
    Type: Application
    Filed: June 22, 2010
    Publication date: December 23, 2010
    Applicant: OKI DATA CORPORATION
    Inventors: Mitsuhiko Ogihara, Tomohiko Sagimori, Masaaki Sakuta, Akihiro Hashimoto
  • Patent number: 7851239
    Abstract: Methods of fabricating an electromechanical systems device that mitigate permanent adhesion, or stiction, of the moveable components of the device are provided. The methods provide an amorphous silicon sacrificial layer with improved and reproducible surface roughness. The amorphous silicon sacrificial layers further exhibit excellent adhesion to common materials used in electromechanical systems devices.
    Type: Grant
    Filed: June 5, 2008
    Date of Patent: December 14, 2010
    Assignee: Qualcomm MEMS Technologies, Inc.
    Inventors: James Randolph Webster, Thanh Nghia Tu, Xiaoming Yan, Wonsuk Chung
  • Patent number: 7852453
    Abstract: An IPS mode LCD device and a method for fabricating the same are disclosed. A switching device is formed at each unit pixel and then a passivation layer is formed thereon. A first concave pattern and a second concave pattern at each unit pixel by using one mask are formed, and a common electrode is formed in the first concave pattern and a pixel electrode is formed in the second concave pattern. Accordingly, the entire fabrication process is simplified.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: December 14, 2010
    Assignee: LG Display Co., Ltd.
    Inventors: Joon-Young Yang, Jung-Il Lee
  • Publication number: 20100301494
    Abstract: Silicon oxide based low-k dielectric materials may be provided with a hydrophobic low-k surface area, even after exposure to a reactive process ambient, by performing a surface treatment on the basis of hexamethylcyclotrisilazane and/or octamethylcyclotetrasilazane. In addition to the surface treatment, a polymerization may be initiated on the basis of a hydrophobic surface nature of the silicon-based dielectric material, thereby increasing the chemical stability during the further processing.
    Type: Application
    Filed: May 24, 2010
    Publication date: December 2, 2010
    Inventors: Matthias Schaller, Thomas Oszinda, Susanne Leppack, Daniel Fischer
  • Publication number: 20100301463
    Abstract: A method for reducing single event upsets in an integrated circuit includes the step of providing a plurality of levels within the integrated circuit, wherein the plurality of levels within the integrated circuit are in a stacked arrangement. The method also includes the step of providing a plurality of metal fill patterns within each of the plurality of levels within the integrated circuit. The method further includes the step of placing the plurality of metal fill patterns within at least one of the plurality of levels in a pattern such that a line of sight towards an active silicon layer does not exist within the stacked arrangement of the plurality of levels, thereby increasingly absorbing ionizing radiation particles, and thereby reducing single event upsets in the integrated circuit.
    Type: Application
    Filed: May 28, 2009
    Publication date: December 2, 2010
    Applicant: International Business Machines Corporation
    Inventors: K. Paul Muller, Alicia Wang
  • Patent number: 7843056
    Abstract: In one aspect, an integrated circuit package composed of a plurality of immediately adjacent stacked layers of cured, planarizing, photo-imageable dielectric is described. At least one interconnect layer is provided between a pair of adjacent dielectric layers. An integrated circuit is positioned within one or more of the dielectric layers such that at least one of the dielectric layers extends over the active surface of the integrated circuit. The integrated circuit is electrically coupled with I/O pads on a surface of the package at least in part through the interconnect layer or electrically conductive vias. In particular embodiments, the package can include thermal pipes, a heat sink, multiple integrated circuits, interconnect layers, conductive vias that electrically connect different components of the package and/or passive devices. In some specific embodiments, the dielectric layers are formed from a suitable epoxy such as SU-8 type.
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: November 30, 2010
    Assignee: National Semiconductor Corporation
    Inventors: Peter Smeys, Peter Johnson, Peter Deane
  • Patent number: 7843045
    Abstract: The object of the present invention is to provide an adhesion film for semiconductor that is capable of bonding a semiconductor chip to a lead frame tightly at an adhesion temperature lower than that of the adhesion film of a traditional polyimide resin without generation of voids and that can also be used for protection of lead frame-exposed area, a thermoplastic resin composition for semiconductor for use in the adhesive agent layer therein, and a lead frame having the adhesive film and a semiconductor device; and, to achieve the object, the present invention provides a thermoplastic resin composition for semiconductor, comprising a thermoplastic resin obtained in reaction of an amine component containing an aromatic diamine mixture (A) containing 1,3-bis(3-aminophenoxy)benzene, 3-(3?-(3?-aminophenoxy)phenyl)amino-1-(3?-(3?-aminophenoxy)phenoxy)benzene and 3,3?-bis(3?-aminophenoxy)diphenylether, and an acid component (C), an adhesion film for semiconductor using the same, a lead frame having the adhesion fi
    Type: Grant
    Filed: July 18, 2006
    Date of Patent: November 30, 2010
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Kiyohide Tateoka, Toshiyasu Kawai, Yoshiyuki Tanabe, Tomohiro Nagoya, Naoko Tomoda
  • Patent number: 7842544
    Abstract: Various apparatuses and methods for forming integrated circuit packages are described. One aspect of the invention pertains to a wafer level method for packaging micro-systems. A substrate prefabricated with metal vias can be provided. The substrate can also be made by forming holes in a substrate and electroplating an electrically conductive material into the holes to form the vias. Multiple microsystems are formed on a top surface of the substrate. Each microsystem is formed to include multiple layers of planarizing, photo-imageable epoxy, one or more interconnect layers and an integrated circuit. Each interconnect layer is embedded in an associated epoxy layer. The integrated circuit is positioned within at least an associated epoxy layer. The interconnect layers of the microsystems are formed such that at least some of the interconnect layers are electrically coupled with one or more of the metal vias in the substrate.
    Type: Grant
    Filed: June 5, 2009
    Date of Patent: November 30, 2010
    Assignee: National Semiconductor Corporation
    Inventors: Peter Smeys, Peter Johnson, Peter Deane
  • Patent number: 7838963
    Abstract: A contiguous block of a stack of two heterogeneous semiconductor layers is formed over an insulator region such as shallow trench isolation. A portion of the contiguous block is exposed to an etch, while another portion is masked during the etch. The etch removes an upper semiconductor layer selective to a lower semiconductor layer in the exposed portion. The etch mask is removed and the entirety of the lower semiconductor layer within the exposed region is metallized. A first metal semiconductor alloy vertically abutting the insulator region is formed, while exposed surfaces of the stack of two heterogeneous semiconductor layers, which comprises the materials of the upper semiconductor layer, are concurrently metallized to form a second metal semiconductor alloy. An inflection point for current and, consequently, a region of flux divergence are formed at the boundary of the two metal semiconductor alloys.
    Type: Grant
    Filed: October 26, 2007
    Date of Patent: November 23, 2010
    Assignee: International Business Machines Corporation
    Inventors: Dureseti Chidambarrao, William K. Henson, Deok-Kee Kim, Chandrasekharan Kothandaraman
  • Publication number: 20100283128
    Abstract: Dicing structures for semiconductor substrates and methods of fabrication thereof are described. In one embodiment, a semiconductor wafer includes a first chip disposed in a substrate, a second chip disposed adjacent the first chip and disposed in the substrate, and a dicing street disposed between the first and the second chip. A first and a second metal level are disposed over the dicing street, wherein the second metal level is disposed above the first metal level. A first alignment mark is disposed in the first metal level above a first portion of the dicing street, and first metal features disposed in the second metal level above the first portion of the dicing street.
    Type: Application
    Filed: March 3, 2010
    Publication date: November 11, 2010
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Hsien-Wei Chen
  • Patent number: 7829985
    Abstract: A ball grid array (BGA) package having a half-etched bonding pad and a cut plating line and a method of fabricating the same. In the BGA package, the plating line is cut to form a predetermined uneven bonding pad using half-etching, thereby increasing the contact area between the bonding pad and a solder ball. The BGA package includes a first external layer having a first circuit pattern and a wire bonding pad pattern wherein a chip is connected to a wire bonding pad using wire bonding. A second external layer includes a second circuit pattern, a cut plating line pattern, and a half-etched uneven solder ball pad pattern. In the second external layer, another chip is mounted on a solder ball pad. An insulating layer having a through hole interposed between the first and second external layers and electrically connects the first and second external layers therethrough.
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: November 9, 2010
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Hyo Soo Lee, Sung Eun Park
  • Publication number: 20100273323
    Abstract: A method for producing on-chip interconnect structures on a substrate is provided, comprising at least the steps of providing a substrate and depositing a ruthenium-comprising layer on top of said substrate, and then performing a pre-treatment of the Ru-comprising layer electrochemically with an HBF4-based electrolyte, and then performing electrochemical deposition of copper onto the pre-treated Ru-comprising layer.
    Type: Application
    Filed: April 21, 2010
    Publication date: October 28, 2010
    Applicant: IMEC
    Inventors: Philippe M. Vereecken, Aleksandar Radisic
  • Publication number: 20100270684
    Abstract: An integrated circuit (IC) structure includes a semiconductor substrate having a plurality of memory bits including IC identification information and a plurality of alternating metal and via layers thereabove. The IC structure includes a bond pad layer formed over a top one of the metal layers. The bond pad layer includes a plurality of pins connected to respective ones of the plurality of memory bits through the metal and via layers, at least one first pad connected to a higher voltage power supply rail and at least one second pad is connected to a lower voltage power supply rail. The bond pad layer has a plurality of circuit segments therein that each connects a respective one of the plurality of pins to either the at least one first pad or the at least one second pad for programming the IC identification information into the memory bit corresponding to that pin.
    Type: Application
    Filed: December 10, 2007
    Publication date: October 28, 2010
    Applicant: AGERE SYSTEMS INC.
    Inventors: Joseph J. Check, Edward B. Harris, Lyle K. Mantz, II, Richard R. Kiser, Patricia J. Leith
  • Publication number: 20100264458
    Abstract: A method for manufacturing heterostructures for applications in the fields of electronics, optics or opto-electronics. This method includes providing a silicon oxide layer with a thickness of less than or equal to 25 nanometers on one of a donor substrate or a receiver substrate or on both substrates, heat treating the substrate(s) that contains the silicon oxide layer at 900° C. to 1,200° C. under a neutral or reducing atmosphere that contains at least one of argon or hydrogen to form layer trapping through-holes inside the silicon oxide, bonding the substrates together at a bonding interface with the silicon oxide layer(s) positioned between them, reinforcing the bonding by annealing the substrates at 25° C. to 500° C. such that the trapping holes retaining gas species at the bonding interface, and transferring an active layer as a portion of the donor substrate onto the receiver substrate to obtain the heterostructure.
    Type: Application
    Filed: January 27, 2009
    Publication date: October 21, 2010
    Inventors: Ionut Radu, Oleg Kononchuk, Konstantin Bourdelle
  • Publication number: 20100258785
    Abstract: Fabrication of metallic or non-metallic wires with nanometer widths and nanometer separation distances without the use of lithography. Wires are created in a two-step process involving forming the wires at the desired dimensions and transferring them to a planar substrate. The dimensions and separation of the wires are determined by the thicknesses of alternating layers of different materials that are in the form of a superlattice. Wires are created by evaporating the desired material onto the superlattice that has been selectively etched to provide height contrast between layers. The wires thus formed upon one set of superlattice layers are then transferred to a substrate.
    Type: Application
    Filed: December 4, 2006
    Publication date: October 14, 2010
    Applicant: California Institute of Technology
    Inventors: James R. Heath, Pierre M. Petroff, Nicholas A. Melosh
  • Publication number: 20100261348
    Abstract: A method for fabricating a semiconductor package substrate, including: preparing a copper clad laminate and half etching a copper foil on a wire bonding pad side of the copper clad laminate; depositing a first etching resist on the opposite sides of the copper clad laminate; forming circuit patterns on the first etching resist, constructing circuits including a wire bonding pad and a ball pad after the model of the circuit patterns, and removing the first etching resist; applying a solder resist to the copper clad laminate in such a way to expose the wire bonding pad and the ball pad; and plating the wire bonding pad with gold and subjecting the ball pad to surface treatment.
    Type: Application
    Filed: June 22, 2010
    Publication date: October 14, 2010
    Applicant: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Kyoung Ro Yoon, Young Hwan Shin, Yoon Su Kim, Tae Gon Lee
  • Publication number: 20100252830
    Abstract: A first external connection terminal at a first row is disposed to position at upside of a first I/O cell, and a second external connection terminal at a second row is formed at upside of a boundary portion between two adjacent first I/O cells. Here, the first external connection terminal and the second external connection terminal are disposed to be separated for a predetermined distance so as not to have an overlapped portion with each other, and formed in an identical layer. According to the constitution, it is possible to prevent disadvantages such as characteristic deterioration of a semiconductor integrated circuit and accuracy deterioration of an electrical inspection.
    Type: Application
    Filed: June 18, 2010
    Publication date: October 7, 2010
    Applicant: FUJITSU SEMICONDUCTOR LIMITED
    Inventor: Toru Osajima
  • Publication number: 20100244199
    Abstract: A semiconductor device includes a first moisture-resistant ring disposed in a peripheral region surrounding a circuit region on a semiconductor substrate in such a way as to surround the circuit region and a second moisture-resistant ring disposed in the peripheral region in such a way as to surround the first moisture-resistant ring.
    Type: Application
    Filed: March 29, 2010
    Publication date: September 30, 2010
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Jun Sakuma, Hideaki Matsumura, Tadashi Ohshima
  • Publication number: 20100243869
    Abstract: A solid-state imaging device includes a light sensing portion which is formed on a substrate and generates a signal electric charge according to incident light; a rectangular or gradient-index on-chip micro lens formed on a light incident side above the light sensing portion; and a planarized lens layer which covers the on-chip micro lens and is formed in such a manner that a light incident surface is planarized.
    Type: Application
    Filed: March 22, 2010
    Publication date: September 30, 2010
    Applicant: SONY CORPORATION
    Inventors: Hiromi Wano, Atsushi Toda, Yoichi Otsuka, Atsushi Yamamoto
  • Publication number: 20100245746
    Abstract: The present invention provides a method for forming a pixel element. The method comprises: forming a first patterned metal layer within the pixel area; forming an insulation layer on the first patterned metal layer; forming a semiconductor layer on the insulation layer; patterning the semiconductor layer to form bend seed generation portion; and forming a second metal layer to connect the semiconductor layer.
    Type: Application
    Filed: October 16, 2009
    Publication date: September 30, 2010
    Applicant: HANNSTAR DISPLAY CORP.
    Inventors: Tsu-Chiang Chang, Po-Yang Chen, Chao-Hui Wu, Po-Sheng Shih
  • Publication number: 20100248427
    Abstract: A method of handling a thin wafer includes forming a support structure at the edge of a thinned wafer that is encapsulated by a protection layer. The support structure can be an adhesive layer enclosing the protection layer, a dielectric-filled trench embedded in the thinned wafer and surrounding the protection layer, or a housing affixing the edge of the thinned wafer.
    Type: Application
    Filed: March 4, 2010
    Publication date: September 30, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wing-Jin WU, Ku-Feng YANG, Wen-Chih CHIOU
  • Publication number: 20100240192
    Abstract: An alignment mark formed by using a first mask used in forming a same memory cell pattern on a substrate and formed together with the memory cell pattern includes: a first pattern for position detection used for alignment in forming a first wiring pattern; and a first irregular reflection prevention mark that suppresses, when a position detection signal is irradiated as alignment in forming a second wiring pattern further on an upper layer side than the first wiring pattern, irregular reflection of a position detection signal from a second pattern for position detection formed further in a lower layer than the first pattern for position detection.
    Type: Application
    Filed: March 18, 2010
    Publication date: September 23, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventor: Hiroomi NAKAJIMA
  • Publication number: 20100230718
    Abstract: A semiconductor device has a first semiconductor layer of a first conductivity type and a second semiconductor layer of a second conductivity type complementary to the first conductivity type arranged in or on the first semiconductor layer. Further, the semiconductor device has a region of the first conductivity type arranged in the second semiconductor layer. A first electrode contacts the region of the first conductivity type and the second semiconductor layer. A first trench extends into the first semiconductor layer, and a voltage dependent short circuit diverter structure includes electrically conductive material arranged in the first trench and coupled to the first electrode and a highly-doped diverter region of the second conductivity type.
    Type: Application
    Filed: March 11, 2009
    Publication date: September 16, 2010
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Franz Hirler, Frank Dieter Pfirsch
  • Publication number: 20100233872
    Abstract: A chip fabrication method. A provided structure includes: a transistor on a semiconductor substrate, N interconnect layers on the semiconductor substrate and the transistor (N>0), and a first dielectric layer on the N interconnect layers. The transistor is electrically coupled to the N interconnect layers. P crack stop regions and Q crack stop regions are formed on the first dielectric layer (P, Q>0). The first dielectric layer is sandwiched between the N interconnect layers and a second dielectric layer that is formed on the first dielectric layer. Each P crack stop region is completely surrounded by the first and second dielectric layers. The second dielectric layer is sandwiched between the first dielectric layer and an underfill layer that is formed on the second dielectric layer. Each Q crack stop region is completely surrounded by the first dielectric layer and the underfill layer.
    Type: Application
    Filed: May 27, 2010
    Publication date: September 16, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Peter J. Brofman, Jon Alfred Casey, Ian D. Melville, David L. Questad, Wolfgang Sauter, Thomas Anthony Wassick
  • Publication number: 20100230758
    Abstract: A formation method and resulting strained semiconductor device are provided, the formation method including forming transistors on a substrate, each transistor having a gate disposed over a channel region, etching or annealing an elongated trench between adjacent channel regions, where the trench has a lower boundary that is deeper towards its ends than towards its center, and conformably embedding an elongated stress region in the trench between adjacent channel regions; and the resulting strained semiconductor device including transistors, each having a gate disposed over a channel region, and elongated stress regions disposed between adjacent channel regions, wherein each of the elongated stress regions has a lower boundary that is deeper towards its ends than towards its center.
    Type: Application
    Filed: February 1, 2010
    Publication date: September 16, 2010
    Inventors: Chong Kwang CHANG, HwaSung Rhee, MyungSun Kim, NaeIn Lee, HongJae Shin
  • Publication number: 20100219453
    Abstract: A device includes a nanotube source electrode located on a surface of a substrate between nanotube gate and nanotube drain electrodes.
    Type: Application
    Filed: October 15, 2007
    Publication date: September 2, 2010
    Applicant: Nokia Corporation
    Inventors: Risto Kaunisto, Jari Kinaret, Eleanor Campbell, Andreas Isacsson, Sang-Wook Lee, Anders Eriksson
  • Publication number: 20100214704
    Abstract: An electrostatic discharge (ESD) protection circuit (40) is coupled across input-output (I/O) pads (21) and common terminals (24) of a core circuit (22) to protect it from ESD events. The circuit (40) comprises, a unidirectional ESD clamp (23) and two or more floating diodes (42, 44) arranged in parallel opposed configuration in series with the ESD clamp (23), the combination coupled between the I/O pads (21) and the reference terminals (24). In a preferred arrangement, the two strings of opposed parallel coupled diodes (42, 44) are used with different numbers of diodes in each string. These diodes (42, 44) operate in forward conduction (43, 45), so the energy dissipated therein during an ESD event is much reduced compared to a reverse biased diode and they can have smaller area. Signal clipping at the I/O pad (21) is reduced, less power is dissipated and less chip area is utilized.
    Type: Application
    Filed: February 26, 2009
    Publication date: August 26, 2010
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Daniel J. Lamey, David C. Burdeaux, Olivier Lembeye
  • Publication number: 20100207249
    Abstract: A wafer with an orientation notch being cut in a portion of its circumference, the wafer includes: a reinforcing flange formed upright at periphery; and a thin section surrounded by the reinforcing flange and having a smaller thickness than the reinforcing flange. The reinforcing flange includes a circumferential portion formed upright along the circumference and a notch portion formed upright near the orientation notch, and a width of the circumferential portion as viewed parallel to a major surface of the wafer is smaller than a depth of the orientation notch as viewed parallel to the major surface.
    Type: Application
    Filed: April 29, 2010
    Publication date: August 19, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yasuharu SUGAWARA, Motoshige KOBAYASHI
  • Publication number: 20100210088
    Abstract: A manufacturing method of a semiconductor device includes the steps of: forming first and second alignment marks by forming first and second alignment mark grooves on a first surface of a semiconductor substrate and filling the grooves with a material different from the semiconductor substrate; forming a first element on the first surface in alignment using the first alignment mark; bonding a support substrate to the first surface; reversing a bonded structure of the support substrate and the semiconductor substrate around a predetermined axis and thinning the semiconductor substrate from a second surface side of the semiconductor substrate at least until a thickness with which a position of the second alignment mark is detected by reflected light obtained by application of alignment light from the second surface side of the semiconductor substrate is obtained; and forming a second element on the second surface in alignment using the second alignment mark.
    Type: Application
    Filed: February 10, 2010
    Publication date: August 19, 2010
    Applicant: SONY CORPORATION
    Inventors: Toshiyuki Ishimaru, Kenji Takeo, Ryo Takahashi
  • Patent number: 7776640
    Abstract: An image sensing device and a packaging method thereof is disclosed. The packaging method includes the steps of providing an adhesive layer; placing a substrate, having an opening, on the adhesive layer; disposing an image sensor within the opening on the adhesive layer; adding a filler between the image sensor and the substrate; connecting the image sensor and the substrate via a plurality of bonding wires; and removing the adhesive layer.
    Type: Grant
    Filed: September 26, 2008
    Date of Patent: August 17, 2010
    Assignee: Tong Hsing Electronic Industries Ltd.
    Inventors: Cheng-Lung Chuang, Chi-Cheng Lin
  • Patent number: 7772120
    Abstract: A chemical vapor deposition (CVD) method for depositing materials including germanium (Ge), antimony (Sb) and nitrogen (N) which, in some embodiments, has the ability to fill high aspect ratio openings is provided. The CVD method of the instant invention permits for the control of nitrogen-doped GeSb stoichiometry over a wide range of values and the inventive method is performed at a substrate temperature of less than 400° C., which makes the inventive method compatible with existing interconnect processes and materials. In some embodiments, the inventive method is a non-selective CVD process, which means that the nitrogen-doped GeSb materials are deposited equally well on insulating and non-insulating materials. In other embodiments, a selective CVD process is provided in which the nitrogen-doped GeSb materials are deposited only on regions of a substrate in a metal which is capable of forming an eutectic alloy with germanium.
    Type: Grant
    Filed: January 9, 2007
    Date of Patent: August 10, 2010
    Assignee: International Business Machines Corporation
    Inventors: Jennifer L. Gardner, Fenton R. Mc Feely, John J. Yurkas
  • Patent number: 7772021
    Abstract: Provided is a method of fabricating a semiconductive oxide thin-film transistor (TFT) substrate. The method includes forming gate wiring on an insulation substrate; and forming a structure in which a semiconductive oxide film pattern and data wiring are stacked on the gate wiring, wherein the semiconductive oxide film pattern is selectively patterned to have channel regions of first thickness and source/drain regions of greater second thickness and where image data is coupled to the source regions by data wiring formed on the source regions. According to a 4-mask embodiment, the data wiring and semiconductive oxide film pattern are defined by a shared etch mask.
    Type: Grant
    Filed: November 29, 2007
    Date of Patent: August 10, 2010
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Je-hun Lee, Dong-ju Yang, Tae-hyung Ihn, Do-hyun Kim, Sun-young Hong, Seung-jae Jung, Chang-oh Jeong, Eun-guk Lee
  • Patent number: 7767485
    Abstract: An interconnect layer is formed on a lower face of a silicon wafer, a support substrate is adhered over a lower face of the interconnect layer, and a thickness reduction of the silicon wafer is performed from an upper face side. Next, a photodiode is formed in an upper face of the silicon wafer, and a microlens is formed at a position corresponding to the photodiode. An adhesive layer is formed on the silicon wafer in a region not covering the microlens, a low refractive index layer having a lower refractive index than the microlens is formed in a region covering the microlens, and a glass substrate is adhered to the silicon wafer by the adhesive layer. The support substrate is removed from the interconnect layer, and a solder ball is bonded to a lower face of the interconnect layer. Thereafter, a CMOS image sensor is manufactured by dicing the silicon wafer.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: August 3, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Masaaki Ogawa, Hitoshi Sugiyama
  • Patent number: 7763918
    Abstract: A system, a computer readable medium, and a method for configuring metal layout of a pixel in a sensor die to enhance the intensity uniformity of an image captured by the sensor die. The method includes the steps of selecting an edge pixel of a sensor die, providing a distance between a photodiode and a metal layer of the selected pixel, shifting a microlens of the edge pixel toward center of the sensor die to maximize ray acceptance angle of the edge pixel, determining a portion of a plane defined by the metal layer, wherein light collected by the photodiode passes through the portion, and configuring the metal layer outside of the determined portion such that the interference of the metal layer with the optical ray is eliminated and, as a consequence, intensity uniformity of an image captured by the sensor die is enhanced.
    Type: Grant
    Filed: December 2, 2004
    Date of Patent: July 27, 2010
    Inventors: Chen Feng, Jim Li
  • Publication number: 20100181641
    Abstract: A semiconductor and method for manufacturing a semiconductor device. In one embodiment the method includes providing a semiconductor substrate with a first substrate surface and at least one trench having at least one trench surface. The trench extends from the first substrate surface into the semiconductor substrate. The trench has a first trench section and a second trench section. The trench surface is exposed in an upper portion of the first and second trench sections and covered with a first insulating layer in a lower portion. A second insulating layer is formed at least on the exposed trench surface in the upper portion. A conductive layer is formed on the second insulating layer at least in the upper portion, wherein the second insulating layer electrically insulates the conductive layer from the semiconductor substrate. The conductive layer is removed in the first trench section without removing the conductive layer in the second trench section.
    Type: Application
    Filed: January 16, 2009
    Publication date: July 22, 2010
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventor: Oliver Blank
  • Publication number: 20100184257
    Abstract: There is provided a method of manufacturing a semiconductor device. The method includes the successive steps of: (a) providing a semiconductor substrate; (b) forming a plurality of semiconductor chips having electrode pads on the semiconductor substrate; (c) forming internal connection terminals on the electrode pads; (d) forming an insulating layer on the plurality of semiconductor chips to cover the internal connection terminals; (e) forming a metal layer on the insulating layer; (f) pushing a whole area of the metal layer to bring the metal layer into contact with upper end portions of the internal connection terminals; (g) pushing portions of the metal layer which contact the upper end portions of the internal connection terminals, thereby forming first recesses in the internal connection terminals, and thereby forming second recesses in the metal layer; and (h) forming wiring patterns by etching the metal layer.
    Type: Application
    Filed: March 30, 2010
    Publication date: July 22, 2010
    Applicant: SHINKO ELECTRIC INDUSTRIES CO., LTD.
    Inventor: Takuya Kazama
  • Publication number: 20100164030
    Abstract: Embodiments of the present invention provide a system and method for manufacturing integrated circuit (IC) chip packages. In one embodiment, the integrated circuit (IC) chip package can include an IC chip and a substrate coupled to the IC chip. The substrate can include a glass fiber re-enforced epoxy core, a plurality copper circuitry containing particle re-enforced epoxy layers symmetrically-oriented to each surface of the glass fiber re-enforced epoxy core, and an outermost amorphous glass layer on each surface of the plurality of layers. The IC chip can be coupled to copper circuitry bonded to one of the outermost amorphous glass layers.
    Type: Application
    Filed: December 31, 2008
    Publication date: July 1, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stefano S. Oggioni, Edmund D. Blackshear, Claudius Feger
  • Publication number: 20100164031
    Abstract: An image sensor and a manufacturing method thereof are provided. The image sensor according to an embodiment includes: a semiconductor substrate where a light receiving device is formed for each pixel; a dielectric layer formed on the semiconductor substrate; and a metal layer formed in the dielectric layer and including metal wires and light shielding patterns formed on an interface between pixels. In the image sensor according to the embodiment, since the light shielding pattern is formed by using a dummy pattern of the metal wire, the light shielding pattern may be formed close to the semiconductor substrate to minimize generation of optical leakage current, thereby improving reliability of the device.
    Type: Application
    Filed: December 2, 2009
    Publication date: July 1, 2010
    Inventor: Chang Yeop Shin
  • Publication number: 20100155907
    Abstract: A semiconductor device includes an inorganic coating layer to at least partially cover a junction termination extension.
    Type: Application
    Filed: December 23, 2008
    Publication date: June 24, 2010
    Inventors: Erich H. Soendker, Thomas A. Hertel, Horacio Saldivar
  • Publication number: 20100155815
    Abstract: A method of manufacturing a memory cell 200. The method comprises forming a memory stack 215. Forming the memory stack includes pre-treating an insulating layer 210 in a substantially ammonia atmosphere for a period of more than 5 minutes to thereby form a pre-treated insulating layer 310. Forming the memory stack also includes depositing a silicon nitride layer on the pre-treated insulating layer.
    Type: Application
    Filed: December 23, 2008
    Publication date: June 24, 2010
    Applicant: Texas Instruments Incorporated
    Inventor: Bernard John Fischer
  • Publication number: 20100148040
    Abstract: An embodiment of a Geiger-mode avalanche photodiode includes a body of semiconductor material having a first conductivity type, a first surface and a second surface; a trench extending through the body from the first surface and surrounding an active region; a lateral-isolation region within the trench, formed by a conductive region and an insulating region of dielectric material, the insulating region surrounding the conductive region; an anode region having a second conductivity type, extending within the active region and facing the first surface. The active region forms a cathode region extending between the anode region and the second surface, and defines a quenching resistor. The photodiode has a contact region of conductive material, overlying the first surface and in contact with the conductive region for connection thereof to a circuit biasing the conductive region, thereby a depletion region is formed in the active region around the insulating region.
    Type: Application
    Filed: December 14, 2009
    Publication date: June 17, 2010
    Applicant: STMICROELECTRONICS S.R.L.
    Inventors: Delfo Nunziato SANFILIPPO, Massimo Cataldo MAZZILLO
  • Publication number: 20100148283
    Abstract: An integrated structure of MEMS device and CIS device and a fabricating method thereof includes providing a substrate having a CIS region and a MEMS region defined therein with a plurality of CIS devices positioned in the CIS region; performing a multilevel interconnect process to form a multilevel interconnect structure in the CIS region and the MEMS region and a micro-machined mesh metal in the MEMS region on a front side of the substrate; performing a first etching process to form a chamber in MEMS region in the front side of the substrate; forming a first mask pattern and a second mask pattern respectively in the CIS region and the MEMS region on a back side of the substrate; and performing a second etching process to form a plurality of vent holes connecting to the chamber on the back side of the substrate through the second mask pattern.
    Type: Application
    Filed: December 14, 2008
    Publication date: June 17, 2010
    Inventor: Hui-Shen Shih
  • Publication number: 20100148381
    Abstract: A semiconductor device is disclosed. One aspect provides a semiconductor device that includes a semiconductor chip including a first face and a second face opposite the first face, an encapsulant including inorganic particles encapsulating the semiconductor chip, a first metal layer attached to the first face of the semiconductor chip, a second metal layer attached the second face of the semiconductor chip, and electrically conducting material configured to connect the first metal layer with the second metal layer.
    Type: Application
    Filed: December 17, 2008
    Publication date: June 17, 2010
    Applicant: Infineon Technologies AG
    Inventors: Joachim Mahler, Edward Fuergut, Manfred Mengel, Ivan Nikitin
  • Patent number: 7737531
    Abstract: A wafer with an orientation notch being cut in a portion of its circumference, the wafer includes: a reinforcing flange formed upright at periphery; and a thin section surrounded by the reinforcing flange and having a smaller thickness than the reinforcing flange. The reinforcing flange includes a circumferential portion formed upright along the circumference and a notch portion formed upright near the orientation notch, and a width of the circumferential portion as viewed parallel to a major surface of the wafer is smaller than a depth of the orientation notch as viewed parallel to the major surface.
    Type: Grant
    Filed: October 17, 2008
    Date of Patent: June 15, 2010
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yasuharu Sugawara, Motoshige Kobayashi
  • Publication number: 20100129025
    Abstract: A microelectromechanical systems device fabricated on a pre-patterned substrate having grooves formed therein. A lower electrode is deposited over the substrate and separated from an orthogonal upper electrode by a cavity. The upper electrode is configured to be movable to modulate light. A semi-reflective layer and a transparent material are formed over the movable upper electrode.
    Type: Application
    Filed: January 27, 2010
    Publication date: May 27, 2010
    Applicant: QUALCOMM MEMS TECHNOLOGIES, INC.
    Inventor: Clarence Chui