Mis Technology (epo) Patents (Class 257/E21.616)

  • Publication number: 20100163973
    Abstract: A semiconductor device includes a P-type substrate 1, an N-type buried layer 2, a P-type buried layer 3, N-type epitaxial layers 4, P-type diffusion layers 6, P-type diffusion layers 8, P-type diffusion layers 11, first electrodes formed on the P-type diffusion layers 11, N-type diffusion layers 9, P-type diffusion layers 12, N-type diffusion layers 13, second electrodes formed on the P-type diffusion layers 12 and the N-type diffusion layers 13, and gate electrodes 10 short-circuited with the second electrodes. The N-type buried layer 2 is in a floating state.
    Type: Application
    Filed: December 22, 2009
    Publication date: July 1, 2010
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yuki Nakamura, Koji Shirai, Hirofumi Nagano, Jun Morioka, Tsubasa Yamada, Kazuaki Yamaura, Yasunori Iwatsu
  • Publication number: 20100164007
    Abstract: To provide a semiconductor device and a method of manufacturing the same capable of suppressing, when a plurality of MIS transistors having different absolute values of threshold voltage is used, the reduction of the drive current of a MIS transistor having a greater absolute value of threshold voltage. The threshold voltage of a second nMIS transistor is greater than the threshold voltage of a first nMIS transistor and the sum of the concentration of lanthanum atom and the concentration of magnesium atom in a second nMIS high-k film included in the second nMIS transistor is lower than the sum of the concentration of lanthanum atom and the concentration of magnesium atom in a first nMIS high-k film included in the first nMIS transistor.
    Type: Application
    Filed: November 30, 2009
    Publication date: July 1, 2010
    Inventors: Kazuhiro ONISHI, Kazuhiro Tsukamoto
  • Publication number: 20100163881
    Abstract: An array substrate for an electrophoresis type display device includes a plurality of gate lines on a substrate; a gate insulating layer on the plurality of gate lines; a plurality of data lines on the gate insulating layer and crossing the plurality of gate lines to define a plurality of pixel regions; a thin film transistor corresponding to each pixel region, the thin film transistor including a gate electrode, a semiconductor layer, and source and drain electrodes; a first passivation layer on the plurality of data lines; a second passivation layer on the first passivation layer, wherein the second passivation layer includes a first hole over the data line, and/or a second hole over the gate line with at least the gate insulating layer therebetween; and a pixel electrode on the second passivation layer and connected to the drain electrode, wherein a portion of the pixel electrode covers the first hole, and another portion of the pixel electrode covers the second hole.
    Type: Application
    Filed: December 9, 2009
    Publication date: July 1, 2010
    Inventors: Seung-Chul KANG, Sung-Jin PARK
  • Publication number: 20100164014
    Abstract: A threshold adjusting semiconductor material, such as a silicon/germanium alloy, may be provided selectively for one type of transistors on the basis of enhanced deposition uniformity. For this purpose, the semiconductor alloy may be deposited on the active regions of any transistors and may subsequently be patterned on the basis of a highly controllable patterning regime. Consequently, threshold variability may be reduced.
    Type: Application
    Filed: December 14, 2009
    Publication date: July 1, 2010
    Inventors: Stephan Kronholz, Andreas Ott
  • Patent number: 7745316
    Abstract: Provided is a method for fabricating a Schottky barrier tunnel transistor (SBTT) that can fundamentally prevent the generation of a gate leakage current caused by damage of spacers formed on both sidewalls of a gate electrode. The method for fabricating a Schottky barrier tunnel transistor, which includes: a) forming a silicon pattern and a sacrificial pattern on a buried oxide layer supported by a support substrate; b) forming a source/drain region on the buried oxide layer exposed on both sides of the silicon pattern, the source/drain region being formed of a metal layer and being in contact with both sidewalls of the silicon pattern; c) removing the sacrificial pattern to expose the top surface of the silicon pattern; and d) forming a gate insulating layer and a gate electrode on the exposed silicon pattern.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: June 29, 2010
    Assignee: Electronics and Telecommunications Research Institute
    Inventors: Yark-Yeon Kim, Seong-Jae Lee, Moon-Gyu Jang, Tae-Youb Kim, Chel-Jong Choi, Myung-Sim Jun, Byoung-Chul Park
  • Publication number: 20100159658
    Abstract: A method for forming and the structure of a strained lateral channel of a field effect transistor, a field effect transistor and CMOS circuitry is described incorporating a drain, body and source region on a single crystal semiconductor substrate wherein a hetero-junction is formed between the source and body of the transistor, wherein the source region and channel are independently lattice strained with respect the body region. The invention reduces the problem of leakage current from the source region via the hetero junction and lattice strain while independently permitting lattice strain in the channel region for increased mobility via choice of the semiconductor materials and alloy composition.
    Type: Application
    Filed: August 3, 2009
    Publication date: June 24, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Qiqing Christine Ouyang, Jack Oon Chu
  • Publication number: 20100159624
    Abstract: An etchant for forming double-layered signal lines and electrodes of a liquid crystal display device includes hydrogen peroxide (H2O2), a phosphate, F-ions, an organic acid having a carboxyl group (—COOH), a copper (Cu) inhibitor, and a hydrogen peroxide (H2O2) stabilizer, wherein each of the double-layered signal lines and electrodes of the liquid crystal display device includes a first layer of one of aluminum (Al), aluminum alloy (Al-alloy), titanium (Ti), titanium alloy (Ti-alloy), tantalum (Ta), and a tantalum alloy (Ta-alloy) and a second layer of copper (Cu).
    Type: Application
    Filed: March 5, 2010
    Publication date: June 24, 2010
    Inventors: Won-Ho Cho, Gyoo-Chul Jo, Gue-Tai Lee, Jin-Gyu Kang, Beung-Hwa Jeong, Jin-Young Kim
  • Publication number: 20100148278
    Abstract: A semiconductor device and fabricating method thereof are disclosed. The method includes forming a polysilicon layer on a semiconductor substrate including a high-voltage area and a low-voltage area, partially etching the polysilicon layer in the low-voltage area, forming an anti-reflective layer on the polysilicon layer to reduce a step difference between the high-voltage and low-voltage areas, forming a photoresist pattern in the high-voltage and low-voltage areas, and forming a high-voltage gate and a low-voltage gate by etching the polysilicon layer using the photoresist pattern as an etch mask.
    Type: Application
    Filed: November 27, 2009
    Publication date: June 17, 2010
    Inventor: Dong Woo KANG
  • Publication number: 20100148825
    Abstract: Provided are a semiconductor device and a method of fabricating the semiconductor device. The semiconductor device may be a complementary device including a p-type oxide TFT and an n-type oxide TFT. The semiconductor device may be a logic device such as an inverter, a NAND device, or a NOR device.
    Type: Application
    Filed: November 30, 2009
    Publication date: June 17, 2010
    Inventors: Jae-chul Park, I-hun Song, Young-soo Park, Kee-won Kwon, Chang-jung Kim, Kyoung-kook Kim, Sung-ho Park, Sung-hoon Lee, Sang-wook Kim, Sun-il Kim
  • Publication number: 20100148254
    Abstract: A semiconductor device and a method of manufacturing the same. The method includes preparing a semiconductor substrate having high-voltage and low-voltage device regions, forming a field insulating layer in the high-voltage device region, forming a first gate oxide layer on the semiconductor substrate, exposing the semiconductor substrate in the low-voltage device region by etching part of the first gate oxide layer and also etching part of the field insulating layer to form a stepped field insulating layer, forming a second gate oxide layer on the first gate oxide layer in the high-voltage device region and on the exposed semiconductor substrate in the low-voltage device region, and forming a gate over the stepped field insulating layer and part of the second gate oxide layer in the high-voltage device region adjoining the field insulating layer.
    Type: Application
    Filed: October 1, 2009
    Publication date: June 17, 2010
    Inventor: Cho Eung Park
  • Publication number: 20100144103
    Abstract: Methods, systems, and apparatuses for electronic devices having improved gate structures are described. An electronic device includes at least one nanowire. A gate contact is positioned along at least a portion of a length of the at least one nanowire. A dielectric material layer is between the gate contact and the at least one nanowire. A source contact and a drain contact are in contact with the at least one nanowire. At least a portion of the source contact and/or the drain contact overlaps with the gate contact along the nanowire the length. In another aspect, an electronic device includes a nanowire having a semiconductor core surrounded by an insulating shell layer. A ring shaped first gate region surrounds the nanowire along a portion of the length of the nanowire. A second gate region is positioned along the length of the nanowire between the nanowire and the substrate.
    Type: Application
    Filed: February 9, 2010
    Publication date: June 10, 2010
    Applicant: NANOSYS, INC.
    Inventors: Shahriar Mostarshed, Jian Chen, Francisco Leon, Yaoling Pan, Linda T. Romano
  • Publication number: 20100133587
    Abstract: A hybrid CMOL stack enables more efficient design of CMOS logical circuits. The hybrid CMOL structure includes a first substrate having a CMOS device layer on the substrate, a first interconnect layer with interface pins over the CMOS device layer of the first substrate, a first array of nanowires connected to the interface pins of the first interconnect layer, a layer of nanowire junction material over the first array of nanowires, a second array of nanowires over the nanowire junction material, a second interconnect layer having interface pins disposed over the second array of nanowires, the interface pins being connected to the second array of nanowires, and a second substrate, the second substrate including a second CMOS device layer disposed over the second interconnect layer.
    Type: Application
    Filed: March 27, 2008
    Publication date: June 3, 2010
    Applicant: The Research Foundation of State University of New York
    Inventor: Wei Wang
  • Publication number: 20100127330
    Abstract: A semiconductor device comprises an insulated gate field effect transistor and a protection diode. The insulated gate field effect transistor has a gate electrode formed on a gate insulating film, a source and a drain. The source and the drain are formed in a first area of a semiconductor substrate. A first silicon oxide film is formed on a second area of the semiconductor substrate adjacent to the first area. The first silicon oxide film is thicker than the gate insulating film and contains larger amount of impurities than the gate insulating film. A poly-silicon layer is formed on the first silicon oxide film. The protection diode has a plurality of PN-junctions formed in the poly-silicon layer. The protection diode is connected between the gate electrode and the source so as to prevent breakdown of the gate insulating film.
    Type: Application
    Filed: November 25, 2009
    Publication date: May 27, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Ryuta ARAI, Hidetoshi ASAHARA, Kouji MURAKAMI, Keiko KAWAMURA
  • Publication number: 20100127324
    Abstract: A trench MOSFET with terrace gate is disclosed for self-aligned contact. When refilling the gate trenches, the deposited polysilicon layer is higher than the sidewalls of the trenches to be used as a terrace gate of the MOSFET. The source contact width is determined by mesa width between two adjacent trenches minus 2 times of the oxide thickness deposited on the mesa instead of contact mask width which is wider than silicon contact width. Therefore, the position of source contact is still unchanged even if the misalignment of trench mask happens. At the same time, by using terrace gates, the Rg is thus reduced because the terrace gate provides more polysilicon as gate material than the conventional trench gate.
    Type: Application
    Filed: November 26, 2008
    Publication date: May 27, 2010
    Applicant: FORCE MOS TECHNOLOGY CO., LTD.
    Inventor: Fu-Yuan Hsieh
  • Publication number: 20100117156
    Abstract: A semiconductor device includes a first transistor, a second transistor, a first interconnect, a second interconnect, and a first gate electrode. The first gate electrode is a gate electrode of the first and second transistors and extends linearly over first and second channel regions. In addition, a first source of the first transistor is located at the opposite side of a second source of the second transistor with the first gate electrode interposed therebetween, and a first drain of the first transistor is located at the opposite side of a second drain of the second transistor with the first gate electrode interposed therebetween.
    Type: Application
    Filed: November 12, 2009
    Publication date: May 13, 2010
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Gen TSUTSUI, Kiyotaka IMAI
  • Publication number: 20100118599
    Abstract: A method to fabricate an integrated circuit (IC) that includes a plurality of MOSFETs including at least one common gate FinFET device and at least one split gate FinFET device. A substrate having a semiconductor surface is provided. A plurality of fins are formed from the semiconductor surface including at least one taller fin of a first height and at least one shorter fin of a second height, wherein the first height is at least 10% greater than the second height. Gate slacks are formed on the taller and shorter fins such that a gate electrode for the taller fin is a split gate electrode and a gate electrode for the shorter fin is a common gate electrode. Fabrication of the IC is completed, wherein the split gate FinFET includes the split gate electrode and the common gate FinFET device includes the common gate electrode.
    Type: Application
    Filed: November 10, 2008
    Publication date: May 13, 2010
    Inventors: Andrew Marshall, Theodore Warren Houston
  • Patent number: 7713774
    Abstract: Embodiments relate to a method of manufacturing an image sensor which may include forming a gate pattern including a tunnel oxide film, an oxide-nitride-oxide (ONO) film, a floating gate and a control gate over a semiconductor substrate. An oxide film and a nitride film may be formed over the semiconductor substrate including the gate pattern. A photoresist pattern may be formed which covers the oxide film and the nitride film formed over the gate pattern. The nitride film may be etched in a region not covered by the photoresist pattern. The oxide film may be etched to have a predetermined thickness. A deep implant process may deeply implant an N-type dopant into the semiconductor substrate. Ashing and cleaning processes may remove the remaining photoresist pattern.
    Type: Grant
    Filed: August 30, 2007
    Date of Patent: May 11, 2010
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Joo-Hyeon Lee
  • Publication number: 20100112764
    Abstract: A process of fabricating an IC is disclosed in which a polysilicon resistor and a gate region of an MOS transistor are implanted concurrently. The concurrent implantation may be used to reduce steps in the fabrication sequence of the IC. The concurrent implantation may also be used to provide another species of transistor in the IC with enhanced performance. Narrow PMOS transistor gates may be implanted concurrently with p-type polysilicon resistors to increase on-state drive current. PMOS transistor gates over thick gate dielectrics may be implanted concurrently with p-type polysilicon resistors to reduce gate depletion. NMOS transistor gates may be implanted concurrently with n-type polysilicon resistors to reduce gate depletion, and may be implanted concurrently with p-type polysilicon resistors to provide high threshold NMOS transistors in the IC.
    Type: Application
    Filed: November 5, 2008
    Publication date: May 6, 2010
    Applicant: Texas Instruments Incorporated
    Inventors: Manoj Mehrotra, Puneet Kohli
  • Publication number: 20100109095
    Abstract: A method for manufacturing a dual work function semiconductor device and the device made thereof are disclosed. In one aspect, a method includes providing a gate dielectric layer over a semiconductor substrate. The method further includes forming a metal layer over the gate dielectric layer. The method further includes forming a layer of gate filling material over the metal layer. The method further includes patterning the gate dielectric layer, the metal layer and the gate filling layer to form a first and a second gate stack. The method further includes removing the gate filling material only from the second gate stack thereby exposing the underlying metal layer. The method further includes converting the exposed metal layer into an metal oxide layer. The method further includes reforming the second gate stack with another gate filling material.
    Type: Application
    Filed: October 13, 2009
    Publication date: May 6, 2010
    Applicants: IMEC, Taiwan Semiconductor Manufacturing Company, Ltd., Katholieke Universiteit Leuven
    Inventors: Zilan Li, Joshua Tseng, Thomas Witters, Stefan De Gendt
  • Publication number: 20100109083
    Abstract: Disclosed are a semiconductor device and a method for manufacturing the same. The semiconductor device includes at least two of first and second conductive-type high-voltage transistors and first and second conductive-type low-voltage transistors. The first conductive-type high-voltage transistor include a first conductive-type well in a semiconductor substrate, a device isolation film in the first conductive-type well, a gate pattern on the first conductive-type well, second conductive-type drift regions in the semiconductor substrate at opposite sides of the gate pattern, second conductive-type source and drain regions in the second conductive-type drift region, a pick-up region to receive a bias voltage, and a first latch-up inhibiting region under the pick-up region. Accordingly, it is possible to reduce and prevent latchup without using a double guard ring and to eliminate an additional process to form first and second latch-up inhibiting regions.
    Type: Application
    Filed: October 1, 2009
    Publication date: May 6, 2010
    Inventors: San Hong Kim, Jong Min Kim
  • Publication number: 20100099229
    Abstract: A method for forming a thin film resistor includes providing a substrate having a transistor region and a thin film resistor region defined thereon, sequentially forming a dielectric layer, a metal layer and a first hard mask layer on the substrate, patterning the first hard mask layer to form at least a thin film resistor pattern in the thin film resistor region, sequentially forming a polysilicon layer and a second hard mask layer on the substrate, patterning the second hard mask layer to form at least a gate pattern in the transistor region, and performing an etching process to form a gate and a thin film resistor respectively in the transistor region and the thin film resistor region.
    Type: Application
    Filed: October 17, 2008
    Publication date: April 22, 2010
    Inventors: Kai-Ling Chiu, Chih-Yu Tseng, Victor-Chiang Liang, You-Ren Liu, Chih-Chen Hsueh
  • Publication number: 20100090931
    Abstract: A display device formed by arranging pixel circuits in a matrix, wherein each pixel circuit includes a self-emissive element; a drive transistor for driving the self-emissive element; and a resistor element serially connected between the self-emissive element and the drive transistor.
    Type: Application
    Filed: October 10, 2007
    Publication date: April 15, 2010
    Inventor: Kazuyoshi Kawabe
  • Publication number: 20100093165
    Abstract: Provided is a method of fabricating an integrated circuit semiconductor device. The method may include forming a plurality of gate patterns spaced apart from each other on a semiconductor substrate, the plurality of gate patterns including gate electrodes and gate capping patterns. After an interlayer insulating layer is formed to insulate the gate patterns, the interlayer insulating layer and the gate capping patterns may be planarized by etching until top surfaces of the gate electrodes are exposed. Gate metal silicide layers may be selectively formed on the gate electrodes.
    Type: Application
    Filed: May 26, 2009
    Publication date: April 15, 2010
    Inventors: Ki-ho Bae, Kwang-bok Kim, Choong-kee Seong, In-seak Hwang, Ki-jong Park, Kyung-hyun Kim
  • Publication number: 20100078727
    Abstract: A semiconductor fabrication process and apparatus are provided for forming passive devices, such as a fuse (93) or resistor (95), in an active substrate region (103) by using heavy ion implantation (30) and annealing (40) to selectively form polycrystalline structures (42, 44) from a monocrystalline active layer (103), while retaining the single crystalline regions in the active layer (103) for use in forming active devices, such as NMOS and/or PMOS transistors (94). As disclosed, fuse structures (93) may be fabricated by forming silicide (90) in an upper region of the polycrystalline structure (42), while resistor structures (95) may be simultaneously formed from polycrystalline structure (44) which is selectively masked during silicide formation.
    Type: Application
    Filed: October 1, 2008
    Publication date: April 1, 2010
    Inventors: Byoung W. Min, Satya N. Chakravarti
  • Publication number: 20100078734
    Abstract: A first transistor and a second transistor are formed in a first element formation region, and a third transistor is formed in a second element formation region. The three transistors are of the same conductive type, and the first transistor and the second transistor have the same threshold voltage. A first well is formed in the first element formation region by use of a first mask pattern, and a second well is formed in the second element formation region by use of a second mask pattern. A channel region of the first transistor and a channel region of the second transistor have a shape which is line-symmetrical with respect to a reference line. The first mask pattern has a shape which is line-symmetrical with respect to the reference line.
    Type: Application
    Filed: September 14, 2009
    Publication date: April 1, 2010
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: TAKASHI SAKOH, HIROKI SHIRAI
  • Publication number: 20100078694
    Abstract: A description is given of a normally on semiconductor component having a drift zone, a drift control zone and a drift control zone dielectric arranged between the drift zone and the drift control zone.
    Type: Application
    Filed: September 30, 2008
    Publication date: April 1, 2010
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Armin Willmeroth, Anton Mauder, Franz Hirler
  • Publication number: 20100072560
    Abstract: A method of manufacturing a nonvolatile memory device wherein first gate lines and second gate lines are formed over a semiconductor substrate. The first gate lines are spaced-from each other at a first width, the second gate lines are spaced-from each other at a second width, and the first width is wider than the second width. A first ion implantation process of forming first junction regions in the semiconductor substrate between the first gate lines and the second gate lines is performed. A second ion implantation process of forming second junction regions in the respective first junction regions between the first gate lines is then performed.
    Type: Application
    Filed: September 18, 2009
    Publication date: March 25, 2010
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Hee Youl Lee, Jae Yoon Noh
  • Publication number: 20100052058
    Abstract: A semiconductor device and method for fabricating a semiconductor device protecting a resistive structure in gate replacement processing is disclosed. The method comprises providing a semiconductor substrate; forming at least one gate structure including a dummy gate over the semiconductor substrate; forming at least one resistive structure including a gate over the semiconductor substrate; exposing a portion of the gate of the at least one resistive structure; forming an etch stop layer over the semiconductor substrate, including over the exposed portion of the gate; removing the dummy gate from the at least one gate structure to create an opening; and forming a metal gate in the opening of the at least one gate structure.
    Type: Application
    Filed: March 11, 2009
    Publication date: March 4, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chen-Pin Hsu, Chung-Long Cheng, Kong-Beng Thei, Harry Chuang
  • Publication number: 20100055854
    Abstract: A method of manufacturing a semiconductor device includes forming a trench in an interlayer dielectric film on the semiconductor substrate, the trench reaching a semiconductor substrate and having a sidewall made of silicon nitride film; depositing a gate insulation film made of a HfSiO film at a temperature within a range of 200 degrees centigrade to 260 degrees centigrade, so that the HfSiO film is deposited on the semiconductor substrate which is exposed at a bottom surface of the trench without depositing the HfSiO film on the silicon nitride film; and filling the trench with a gate electrode made of metal.
    Type: Application
    Filed: September 17, 2009
    Publication date: March 4, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Takuya Kobayashi, Katsuyuki Sekine, Tomonori Aoyama, Hiroshi Tomita
  • Publication number: 20100038719
    Abstract: Disclosed are semiconductor apparatuses and methods of fabricating the same. According to the methods, the number of operations for fabricating the semiconductor apparatuses having a plurality of layers may be the same as the number of operations for fabricating a semiconductor apparatus having one layer. The semiconductor apparatuses may include first active regions extending in the same direction, in parallel, separated from each other and including first and second impurity doped regions on opposite ends of the first active regions from each other. The semiconductor apparatuses may further include second active regions on a layer above the first active regions, extending in the same direction as the first active regions, separated from each other, in parallel, and including first and second impurity doped regions on opposite ends of the second active regions from each other.
    Type: Application
    Filed: July 31, 2009
    Publication date: February 18, 2010
    Inventors: Won-Joo Kim, Tae-hee Lee, Yoon-dong Park, Sang-moo Choi, Dae-kll Cha
  • Publication number: 20100035392
    Abstract: A semiconductor device includes a semiconductor substrate having a semiconductor layer, a gate electrode, a source region, a drain region, an element separation insulating film layer and a wiring. The gate electrode include a laminated structure having a gate insulating film formed on the semiconductor layer, a metal or a metallic compound formed on the gate insulating film and a polycrystalline silicon layer formed on the metal or metallic compound. The source region and drain region are formed on a surface portion of the semiconductor substrate and sandwich the gate electrode therebetween. The element separation insulating film layer surrounds the semiconductor layer. The wiring is in contact with the metal or metallic compound of the gate electrode.
    Type: Application
    Filed: August 27, 2009
    Publication date: February 11, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Yoshinori Tsuchiya, Masato Koyama
  • Publication number: 20100032741
    Abstract: A technology capable of reducing the fraction defective of a MOS capacitor without the need to perform a screening is provided. A MOS capacitor MOS1 and a MOS capacitor MOS2 are coupled in series between a high potential and a low potential to form a series capacitive element. Then, a polysilicon capacitor PIP1 and a polysilicon capacitor PIP2 are coupled in parallel with the series capacitive element. Specifically, a high-concentration semiconductor region HS1 constituting a lower electrode of the MOS capacitor MOS1 and a high-concentration semiconductor region HS2 constituting a lower electrode of the MOS capacitor MOS2 are coupled. Further, an electrode E1 constituting an upper electrode of the MOS capacitor MOS1 is coupled to the low potential (for example, GND) and an electrode E3 constituting an upper electrode of the MOS capacitor MOS2 is coupled to the high potential (for example, power source potential).
    Type: Application
    Filed: July 20, 2009
    Publication date: February 11, 2010
    Inventor: Maya Ueno
  • Publication number: 20100032757
    Abstract: A three terminal bi-directional laterally diffused metal oxide semiconductor (LDMOS) transistor which includes two uni-directional LDMOS transistors in series sharing a common drain node, and configured such that source nodes of the uni-directional LDMOS transistors serve as source and drain terminals of the bi-directional LDMOS transistor. The source is shorted to the backgate of each LDMOS transistor. The gate node of each LDMOS transistor is clamped to its respective source node to prevent source-gate breakdown, and the gate terminal of the bi-directional LDMOS transistor is connected to the gate nodes of the constituent uni-directional LDMOS transistors through blocking diodes. The common drain is a deep n-well which isolates the two p-type backgate regions. The gate node clamp can be a pair of back-to-back zener diodes, or a pair of self biased MOS transistors connected source-to-source in series.
    Type: Application
    Filed: August 7, 2009
    Publication date: February 11, 2010
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Sameer P. PENDHARKAR
  • Publication number: 20100025748
    Abstract: A semiconductor device with a dynamic gate drain capacitance. One embodiment provides a semiconductor device. The device includes a semiconductor substrate, a field effect transistor structure including a source region, a first body region, a drain region, a gate electrode structure and a gate insulating layer. The gate insulating layer is arranged between the gate electrode structure and the body region. The gate electrode structure and the drain region partially form a capacitor structure including a gate-drain capacitance configured to dynamically change with varying reverse voltages applied between the source and drain regions. The gate-drain capacitance includes at least one local maximum at a given threshold or a plateau-like course at given reverse voltage.
    Type: Application
    Filed: August 1, 2008
    Publication date: February 4, 2010
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Anton Mauder, Hans-Joachim Schulze, Carolin Tolksdorf, Winfried Kaindl, Armin Willmeroth
  • Publication number: 20100019302
    Abstract: In a semiconductor device and a method of manufacturing the same, a substrate is defined into active and non-active regions by a device isolation layer and a recessed portion is formed on the active region. A gate electrode includes a gate insulation layer on an inner sidewall and a bottom of the recessed portion, a lower electrode on the gate insulation layer and an inner spacer on the lower electrode in the recessed portion, and an upper electrode that is positioned on the inner spacer and connected to the lower electrode. Source and drain impurity regions are formed at surface portions of the active region of the substrate adjacent to the upper electrode. Accordingly, the source and drain impurity regions are electrically insulated by the inner spacer in the recessed portion of the substrate like a bridge, to thereby sufficiently prevent gate-induced drain leakage (GIDL) at the gate electrode.
    Type: Application
    Filed: July 23, 2009
    Publication date: January 28, 2010
    Inventors: Sung-Sam Lee, Joon-Seok Moon, Young-Ju Choi
  • Publication number: 20100012936
    Abstract: A layered film of a three-layer clad foil formed with a first metal layer 23, a second metal layer 25, and an inorganic insulating layer 35 interposed therebetween is prepared. After the second metal layer 25 is partially etched to form a gate electrode 20g, the first metal layer 23 is partially etched to form source/drain electrodes 20s, 20d in a region corresponding to the gate electrode 20g. A semiconductor layer 40 is then formed in contact with the source/drain electrodes 20s, 20d and on the gate electrode 20g with the inorganic insulating layer 35 interposed therebetween. The inorganic insulating layer 35 on the gate electrode 20g functions as a gate insulating film 30, and the semiconductor layer 40 between the source/drain electrodes 20s, 20d on the inorganic insulating layer 35 functions as a channel.
    Type: Application
    Filed: October 1, 2008
    Publication date: January 21, 2010
    Inventors: Koichi Hirano, Seiichi Nakatani, Shingo Komatsu, Yoshihisa Yamashita, Takashi Ichiryu
  • Publication number: 20100013017
    Abstract: A method of manufacturing a semiconductor device including implanting an element selected from fluorine and nitrogen, over the entire region of a semiconductor substrate; oxidizing the semiconductor substrate to thereby form a first oxide film over the surface of the semiconductor substrate; selectively removing the first oxide film in a partial region; oxidizing the semiconductor substrate in the partial region to thereby form a second oxide film thinner than the first oxide film in the partial region; and forming gates to thereby form transistors.
    Type: Application
    Filed: July 8, 2009
    Publication date: January 21, 2010
    Applicant: NEC ELECTRONICS CORPORATION
    Inventor: Gen Tsutsui
  • Publication number: 20100015771
    Abstract: A method of fabricating a strained silicon transistor is provided. Amorphous silicon is formed below the transistor region before the transistor is formed. By using the tensile/compressive strainer, amorphous silicon is recrystallized to form a strained silicon layer. In addition, the dopants in the well can be driven in and activated by using the same annealing process with the amorphous silicon recrystallization.
    Type: Application
    Filed: July 20, 2008
    Publication date: January 21, 2010
    Inventor: Chao-Ching Hsieh
  • Publication number: 20100013019
    Abstract: A structure and a method of making the structure. The structure includes a field effect transistor including: a first and a second source/drain formed in a silicon substrate, the first and second source/drains spaced apart and separated by a channel region in the substrate; a gate dielectric on a top surface of the substrate over the channel region; and an electrically conductive gate on a top surface of the gate dielectric; and a dielectric pillar of a first dielectric material over the gate; and a dielectric layer of a second dielectric material over the first and second source/drains, sidewalls of the dielectric pillar in direct physical contact with the dielectric layer, the dielectric pillar having no internal stress or an internal stress different from an internal stress of the dielectric layer.
    Type: Application
    Filed: September 30, 2009
    Publication date: January 21, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent Alan Anderson, Edward Joseph Nowak
  • Publication number: 20100006926
    Abstract: Methods for forming high performance gates in MOSFETs and structures thereof are disclosed. One embodiment includes a method including providing a substrate including a first short channel active region, a second short channel active region and a long channel active region, each active region separated from another by a shallow trench isolation (STI); and forming a field effect transistor (FET) with a polysilicon gate over the long channel active region, a first dual metal gate FET having a first work function adjusting material over the first short channel active region and a second dual metal gate FET having a second work function adjusting material over the second short channel active region, wherein the first and second work function adjusting materials are different.
    Type: Application
    Filed: July 10, 2008
    Publication date: January 14, 2010
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: HUILONG ZHU, Xiaomeng Chen, Mahender Kumar, Brian J. Greene, Bachir Dirahoui, Jay W. Strane, Gregory G. Freeman
  • Patent number: 7646057
    Abstract: Disclosed is a semiconductor device. The semiconductor device includes a first gate formed in a trench of a semiconductor substrate, a first gate oxide layer on the semiconductor substrate including the first gate, a first epitaxial layer on the first gate oxide layer, first source and drain regions in the first epitaxial layer at sides of the first gate, an insulating layer on the first epitaxial layer, a second epitaxial layer on the insulating layer, a second gate oxide layer on the second epitaxial layer, a second gate on the second gate oxide layer, and second source and drain regions in the second epitaxial layer below sides of the second gate.
    Type: Grant
    Filed: July 2, 2009
    Date of Patent: January 12, 2010
    Assignee: Dongbu Hitek Co., Ltd.
    Inventor: Ji Houn Jung
  • Publication number: 20090321804
    Abstract: A semiconductor component including a drift zone and a drift control zone. One embodiment provides a transistor component having a drift zone, a body zone, a source zone and a drain zone. The drift zone is arranged between the body zone and the drain zone. The body zone is arranged between the source zone and the drift zone.
    Type: Application
    Filed: June 30, 2008
    Publication date: December 31, 2009
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventors: Anton Mauder, Stefan Sedlmaier, Armin Willmeroth
  • Publication number: 20090321843
    Abstract: The present disclosure relates to semiconductor devices and a process sequence in which a semiconductor alloy, such as silicon/germanium, may be formed in an early manufacturing stage, wherein other performance-increasing mechanisms, such as a recessed drain and source configuration, possibly in combination with high-k dielectrics and metal gates, may be incorporated in an efficient manner while still maintaining a high degree of compatibility with conventional process techniques.
    Type: Application
    Filed: May 12, 2009
    Publication date: December 31, 2009
    Inventors: Andrew Waite, Andy Wei, Gunter Grasshoff
  • Publication number: 20090315115
    Abstract: A method (and semiconductor device) of fabricating a semiconductor device provides a shallow trench isolation (STI) structure or region by implanting ions in the STI region. After implantation, the region (of substrate material and ions of a different element) is thermally annealed producing a dielectric material operable for isolating two adjacent field-effect transistors (FET). This eliminates the conventional steps of removing substrate material to form the trench and refilling the trench with dielectric material. Implantation of nitrogen ions into an STI region adjacent a p-type FET applies a compressive stress to the transistor channel region to enhance transistor performance. Implantation of oxygen ions into an STI region adjacent an n-type FET applies a tensile stress to the transistor channel region to enhance transistor performance.
    Type: Application
    Filed: June 23, 2008
    Publication date: December 24, 2009
    Applicant: Chartered Semiconductor Manufacturing, Ltd.
    Inventors: Beichao Zhang, Johnny Widodo, Juan Boon Tan, Yong Kong Siew, Fan Zhang, Haifeng Sheng, Wenhe Lin, Young Way Teh, Jinping Liu, Vincent Ho, Liang Choo Hsia
  • Publication number: 20090315114
    Abstract: Embodiments relate to an improved tri-gate device having gate metal fills, providing compressive or tensile stress upon at least a portion of the tri-gate transistor, thereby increasing the carrier mobility and operating frequency. Embodiments also contemplate method for use of the improved tri-gate device.
    Type: Application
    Filed: June 23, 2008
    Publication date: December 24, 2009
    Applicant: Intel Corporation
    Inventors: Titash Rakshit, Martin Giles, Ravi Pillarisetty, Jack T. Kavalieros
  • Publication number: 20090311838
    Abstract: A method of manufacturing a semiconductor device includes forming a conductive layer over a semiconductor substrate, selectively removing the conductive layer for forming a resistance element and a gate electrode, forming sidewall spacers over sidewalls of the remaining conductive layer, forming a first insulating film containing a nitrogen over the semiconductor substrate having the sidewall spacers, implanting ions in the semiconductor substrate through the first insulating film, forming a second insulating film containing a nitrogen over the first insulating film after implanting ions in the semiconductor substrate through the first insulating film, and selectively removing the first and the second insulating film such that at least a part of the first and the second insulating films is remained over the semiconductor substrate and over the conductive layer.
    Type: Application
    Filed: March 24, 2009
    Publication date: December 17, 2009
    Applicant: FUJITSU MICROELECTRONICS LIMITED
    Inventors: Masatoshi FUKUDA, Akiyoshi HATADA, Katsuaki OOKOSHI, Kenichi OKABE, Tomonari YAMAMOTO
  • Publication number: 20090302364
    Abstract: A process of forming an electronic device can include forming a capacitor dielectric layer over a base region, wherein the base region includes a base semiconductor material, forming a gate dielectric layer over a substrate, forming a capacitor electrode over the capacitor dielectric layer, forming a gate electrode over the gate dielectric layer, and forming an input terminal and an output terminal to the capacitor electrode. The input terminal and the output terminal can be spaced apart from each other and are connected to different components within the electronic device. A filter can include the base region, the capacitor dielectric layer, and the capacitor electrode. A transistor structure can include the gate dielectric layer and the gate electrode. An electronic device can include a low-pass filter and a transistor structure, such as an n-channel transistor or a p-channel transistor.
    Type: Application
    Filed: June 5, 2008
    Publication date: December 10, 2009
    Applicant: Freescale Semiconductor, Inc
    Inventors: Fabio Duarte de Martin, Fabio de Lacerda, Alfredo Olmos
  • Publication number: 20090305476
    Abstract: A control element of an organic electro-luminescent display includes a first transistor, a second transistor and a capacitor. The first gate electrode of the first transistor is electrically connected to a scan line, and the first source/drain electrode of the first transistor is electrically connected to a data line. The second gate electrode of the second transistor is electrically connected to the second source/drain electrode of the first transistor. The third source/drain electrode of the second transistor is electrically connected to a working voltage, and the fourth source/drain electrode of the second transistor is electrically connected to a light emitting diode. One end of the capacitor is electrically connected to the second gate electrode. The material of the dielectric layer of the capacitor is different from the material of the gate dielectric of one of the first transistor and the second transistor.
    Type: Application
    Filed: August 18, 2009
    Publication date: December 10, 2009
    Applicant: AU OPTRONICS CORPORATION
    Inventors: Jen-Chien Peng, Meng-Hsiang Chang
  • Publication number: 20090294870
    Abstract: A method of a semiconductor device, which includes an insulated-gate FET and an electronic element, includes three steps. The first step is the step of forming a trench gate of the insulated-gate FET in a first region of a semiconductor base and a trench element-isolation layer in a second region of the semiconductor base, simultaneously. The second step is the step of forming a first diffusion layer of the insulated-gate FET on a side of the trench gate and a second diffusion layer of the electronic element in a region surrounded by the trench element-isolation layer, simultaneously. The third step is the step of forming a third diffusion layer of the insulated-gate FET in the first diffusion layer and a fourth diffusion layer of the electronic element in the second diffusion layer, simultaneously.
    Type: Application
    Filed: May 26, 2009
    Publication date: December 3, 2009
    Applicant: NEC ELECTRONICS CORPORATION
    Inventors: Takao Arai, Sachiko Shirai
  • Patent number: 7625789
    Abstract: A field effect transistor having a T-shaped gate electrode is formed on a GaAs substrate, and the T-shaped gate electrode of the field effect transistor is coated with a SiO2 film. A lower electrode of a MIM capacitor is formed on the GaAs substrate. The active portion of the field effect transistor is coated with a fluorine-containing polymer layer. A SiN film, which is a capacity insulating film of the MIM capacitor, is formed on the fluorine-containing polymer layer and the lower electrode. After removing the SiN film from the fluorine-containing polymer layer, the fluorine-containing polymer layer is selectively removed from the SiO2 film and the SiN film. An upper electrode of the MIM capacitor is formed opposite the lower electrode on the SiN film.
    Type: Grant
    Filed: January 7, 2008
    Date of Patent: December 1, 2009
    Assignee: Mitsubishi Electric Corporation
    Inventor: Yasuki Aihara