Mis Technology (epo) Patents (Class 257/E21.616)

  • Publication number: 20120153354
    Abstract: When forming sophisticated transistors, for instance comprising high-k metal gate electrode structures, a significant material loss of an embedded strain-inducing semiconductor material may be compensated for, or at least significantly reduced, by performing a second epitaxial growth step after the incorporation of the drain and source extension dopant species. In this manner, superior strain conditions may be achieved, while also the required drain and source dopant profile may be implemented.
    Type: Application
    Filed: September 19, 2011
    Publication date: June 21, 2012
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Stephan Kronholz, Gunda Beernink, Maciej Wiatr
  • Patent number: 8202770
    Abstract: A semiconductor structure of a display device and the method for fabricating the same are provided. The semiconductor structure is formed on a substrate having a TFT region and a pixel capacitor region thereon. A TFT, including a gate electrode, a source electrode, a drain electrode, a channel layer, and a gate insulating layer, is formed on the TFT region of the substrate. A pixel capacitor is formed on the pixel capacitor region, wherein the pixel capacitor comprises a bottom electrode formed on a bottom dielectric layer, an interlayer dielectric layer formed on the bottom electrode, a top electrode formed on the interlayer dielectric layer, a contact plug passing through the interlayer dielectric layer and electrically connected to the top and bottom electrodes, a capacitor dielectric layer formed on the top electrode, a transparent electrode formed on the capacitor dielectric layer and electrically connected to the drain electrode.
    Type: Grant
    Filed: June 15, 2010
    Date of Patent: June 19, 2012
    Assignee: AU Optronics Corp.
    Inventor: Yu-Cheng Chen
  • Publication number: 20120146156
    Abstract: A semiconductor device includes an MIS transistor and an electric fuse. The MIS transistor includes a gate insulating film formed on the semiconductor substrate, and a gate electrode including a first polysilicon layer, a first silicide layer, and a first metal containing layer made of a metal or a conductive metallic compound. The electric fuse includes an insulating film formed on the semiconductor substrate, a second polysilicon layer formed over the insulating film, and a second silicide layer formed on the second polysilicon layer.
    Type: Application
    Filed: February 15, 2012
    Publication date: June 14, 2012
    Applicant: PANASONIC CORPORATION
    Inventors: MASANORI SHIRAHAMA, YASUHIRO AGATA, TOSHIAKI KAWASAKI, YUICHI HIROFUJI, TAKAYUKI YAMADA
  • Publication number: 20120139049
    Abstract: A method is provided for fabricating a microelectronic device and a resistor on a substrate. The method can include forming device regions in a monocrystalline semiconductor region of a substrate, in which the device regions have edges defined according to a first semiconductor feature overlying a major surface of the semiconductor region. A dielectric region is formed having a planarized surface overlying the semiconductor region and overlying a second semiconductor feature disposed above a surface of an isolation region in the substrate. The surface of the isolation region can be disposed below the major surface. The method can further include removing at least a portion of the first semiconductor feature exposed at the planarized surface of the dielectric region to form an opening and forming a gate at least partially within the opening.
    Type: Application
    Filed: December 6, 2010
    Publication date: June 7, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Narasimhulu Kanike
  • Patent number: 8193062
    Abstract: A memory cell having N transistors including at least one pair of access transistors, one pair of pull-down transistors, and one pair of pull-up transistors to form a memory cell, wherein N is an integer at least equal to six, wherein each of the access transistors and each of the pull-down transistors is a same one of an n-type or a p-type transistor, and each of the pull-up transistors is the other of an n-type or a p-type transistor, wherein at least one of the pair of the pull down transistors and the pair of the pull up transistors are asymmetric.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: June 5, 2012
    Assignee: International Business Machines Corporation
    Inventors: Leland Chang, Jeffrey W. Sleight
  • Publication number: 20120126337
    Abstract: A structure and a method of making the structure. The structure includes first and second semiconductor regions in a semiconductor substrate and separated by a region of trench isolation in the semiconductor substrate; a first gate electrode extending over the first semiconductor region; a second gate electrode extending over the second semiconductor region; a trench contained in the region of trench isolation and between and abutting the first and second semiconductor regions; and an electrically conductive strap in the trench, the strap electrically connecting the first and second semiconductor regions.
    Type: Application
    Filed: November 19, 2010
    Publication date: May 24, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Brent A. Anderson, Edward J. Nowak, Jed H. Rankin
  • Patent number: 8183115
    Abstract: There is provided an SOI-MISFET including: an SOI layer; a gate electrode provided on the SOI layer interposing a gate insulator; and a first elevated layer provided higher in height from the SOI layer than the gate electrode at both sidewall sides of the gate electrode on the SOI layer so as to constitute a source and drain. Further, there is also provided a bulk-MISFET including: a gate electrode provided on a silicon substrate interposing a gate insulator thicker than the gate insulator of the SOI MISFET; and a second elevated layer configuring a source and drain provided on a semiconductor substrate at both sidewalls of the gate electrode. The first elevated layer is thicker than the second elevated layer, and the whole of the gate electrodes, part of the source and drain of the SOI-MISFET, and part of the source and drain of the bulk-MISFET are silicided.
    Type: Grant
    Filed: April 15, 2011
    Date of Patent: May 22, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Takashi Ishigaki, Ryuta Tsuchiya, Yusuke Morita, Nobuyuki Sugii, Shinichiro Kimura, Toshiaki Iwamatsu
  • Patent number: 8183107
    Abstract: Semiconductor devices are formed with reduced variability between close proximity resistors, improved end resistances, and reduced random dopant mismatch. Embodiments include ion implanting a dopant, such as B, at a relatively high dosage, e.g. about 4 to about 6 keV, and at a relatively low implant energy, e.g., about 1.5 to about 2E15/cm2.
    Type: Grant
    Filed: May 27, 2009
    Date of Patent: May 22, 2012
    Assignee: Globalfoundries Inc.
    Inventors: Kaveri Mathur, James F. Buller, Andreas Kurz
  • Publication number: 20120119298
    Abstract: A method of forming an integrated circuit includes forming a plurality of gate structures longitudinally arranged along a first direction over a substrate. A plurality of angle ion implantations are performed to the substrate. Each of the angle ion implantations has a respective implantation angle with respect to a second direction. The second direction is substantially parallel with a surface of the substrate and substantially orthogonal to the first direction. Each of the implantation angles is substantially larger than 0°.
    Type: Application
    Filed: November 11, 2010
    Publication date: May 17, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Zhiqiang WU, Yi-Ming SHEU, Tsung-Hsing YU, Kuan-Lun CHENG, Chih-Pin TSAO, Wen-Yuan CHEN, Chun-Fu CHENG, Chih-Ching WANG
  • Publication number: 20120115293
    Abstract: In a method of manufacturing a semiconductor device, a plurality of sacrificial layers and a plurality of insulating interlayers are repeatedly and alternately on a substrate. The insulating interlayers include a different material from a material of the sacrificial layers. At least one opening through the insulating interlayers and the sacrificial layers are formed. The at least one opening exposes the substrate. The seed layer is formed on an inner wall of the at least one opening using a first silicon source gas. A polysilicon channel is formed in the at least one opening by growing the seed layer. The sacrificial layers are removed to form a plurality of grooves between the insulating interlayers. A plurality of gate structures is formed in the grooves, respectively.
    Type: Application
    Filed: November 2, 2011
    Publication date: May 10, 2012
    Inventors: Jin-Tae NOH, Hun-Hyeong Lim, Ki-Hyun Hwang, Jin-Gyun Kim, Sang-Ryol Yang
  • Publication number: 20120104468
    Abstract: Fabricating high voltage transistors includes forming a buried p-type implant on a p-substrate for each transistor, the transistor having a source side and a drain side, wherein the p-type implant is positioned adjacent the source and is configured to extend under a gate region; depositing a low doping epitaxial layer on the p-substrate and the p-type implant for each high voltage transistor, the low doping epitaxial layer extending from the source to the drain; forming an N-Well in the low doping epitaxial layer for each transistor, wherein the N-Well corresponds to a low voltage transistor N-Well fabricated using a low voltage transistor fabrication process; and forming a p-top diffusion region in or on the N-Well for each transistor, wherein the p-top diffusion region is configured to compensate for a dopant concentration of the N-Well at or near a surface of the N-Well opposing the substrate.
    Type: Application
    Filed: September 19, 2011
    Publication date: May 3, 2012
    Applicant: O2MICRO, INC.
    Inventors: Yanjun Li, Sen Zhang
  • Publication number: 20120098058
    Abstract: A semiconductor device and a method for forming the semiconductor device wherein the semiconductor comprises: a trench MOSFET, formed on a semiconductor initial layer, comprising a well region, wherein the semiconductor initial layer has a first conductivity type and wherein the well region has a second conductivity type; an integrated Schottky diode next to the trench MOSFET, comprising a anode metal layer contacted to the semiconductor initial layer; a trench isolation structure, coupled between the trench MOSFET and integrated Schottky diode, configured to resist part of lateral diffusion from the well region; wherein the well region comprises an overgrowth part which laterally diffuses under the trench isolation structure and extends out of it.
    Type: Application
    Filed: October 21, 2011
    Publication date: April 26, 2012
    Inventors: Lei Zhang, Tiesheng Li
  • Publication number: 20120100658
    Abstract: Provided is a method of forming a semiconductor device. The method includes forming an insulating film on a semiconductor substrate, a conductive film on the insulating film, and a first structure and a second structure on the conductive film. The semiconductor substrate has first and second regions. The first and second structures are formed on the first and second regions, respectively. An impurity diffused region is formed in the semiconductor substrate using the first structure as a mask. The impurity diffused region overlaps the first structure. A portion of the first structure, and the conductive film are etched to respectively form a gate structure and a capacitor structure on the first and second regions.
    Type: Application
    Filed: September 8, 2011
    Publication date: April 26, 2012
    Inventors: JONG-WON CHOI, Jun-Seok Yang, Keon-Yong Cheon, Sung-Hyun Yoon
  • Publication number: 20120099058
    Abstract: Embodiments of the disclosed technology relates to an array substrate, a manufacturing method thereof and a liquid crystal display. The method comprises: forming a gate metal film, applying photoresist on the gate metal film, patterning to form a gate line, a gate electrode and a gate line leading wire, and remain a part of photoresist on the gate line leading wire; sequentially depositing a gate insulating film, an active layer film and a source/drain metal film, applying photoresist on the source/drain metal film and patterning to form source/drain electrodes and a channel; lifting off the remained photoresist, the gate insulating film and the photoresist above the gate line leading wire; and then forming a protection layer and a pixel electrode.
    Type: Application
    Filed: October 21, 2011
    Publication date: April 26, 2012
    Applicant: BEIJING BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventor: Wei QIN
  • Publication number: 20120074507
    Abstract: An integrated circuit device. The integrated circuit device includes a semiconductor substrate having a surface region. A gate dielectric layer overlies the surface region of the substrate. The device includes a MOS device having a p+ active region. The p+ active region forms a first electrode for a resistive switching device. The resistive switching device includes an amorphous silicon switching material overlying the p+ active region and a metal electrode overlies the first metal conductor structure. The metal electrode includes a metal material, upon application of a positive bias to the metal electrode, forms a metal region in the amorphous silicon switching material. The MOS device provides for a select transistor for the integrated circuit device.
    Type: Application
    Filed: September 29, 2010
    Publication date: March 29, 2012
    Applicant: Crossbar, Inc.
    Inventors: Sung Hyun JO, Hagop Nazarian
  • Patent number: 8138551
    Abstract: A semiconductor device includes a semiconductor substrate, a first transistor including a first gate electrode, a first diffusion region, and a second diffusion region respectively formed above the semiconductor substrate, second transistor including a second gate electrode, the first diffusion region, and a third diffusion region respectively formed above the semiconductor substrate, and a node electrode formed above the first diffusion layer, and coupled thereto. The first gate electrode and the second gate electrode are formed separately at respective side walls of the node electrode.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: March 20, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Tomohiro Hamajima
  • Publication number: 20120061770
    Abstract: A method of manufacturing a nonvolatile memory device wherein first gate lines and second gate lines are formed over a semiconductor substrate. The first gate lines are spaced-from each other at a first width, the second gate lines are spaced-from each other at a second width, and the first width is wider than the second width. A first ion implantation process of forming first junction regions in the semiconductor substrate between the first gate lines and the second gate lines is performed. A second ion implantation process of forming second junction regions in the respective first junction regions between the first gate lines is then performed.
    Type: Application
    Filed: November 16, 2011
    Publication date: March 15, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Hee Youl Lee, Jae Yoon Noh
  • Publication number: 20120063212
    Abstract: According to one embodiment, a semiconductor device includes a first transistor and a second transistor having a conductivity type which is different from a conductivity type of the first transistor, the first transistor and the second transistor being disposed on a semiconductor substrate such that a gate electrode of the first transistor and a gate electrode of the second transistor are connected to each other. The gate electrode of the first transistor includes first impurities and second impurities which suppress diffusion of the first impurities, and a concentration peak of the first impurities is formed at a shallower position than a concentration peak of the second impurities.
    Type: Application
    Filed: March 16, 2011
    Publication date: March 15, 2012
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Masahiko Kanda, Koji Miyamoto
  • Publication number: 20120063198
    Abstract: In some embodiments, a memory cell includes a transistor gate spaced from a channel region by gate dielectric; a source region on one side of the channel region; and a drain region on an opposing side of the channel region from the source region. The channel region has phase change material adjacent the drain region. In some embodiments, the phase change material may be adjacent both the source region and the drain region. Some embodiments include methods of programming a memory cell that has phase change material adjacent a drain region. An inversion layer is formed within the channel region adjacent the gate dielectric, with the inversion layer having a pinch-off region within the phase change material adjacent the drain region. Hot carriers (for instance, electrons) within the pinch-off region are utilized to change a phase within the phase change material.
    Type: Application
    Filed: November 16, 2011
    Publication date: March 15, 2012
    Applicant: MICRON TECHNOLOGY INC.
    Inventor: Jun Liu
  • Publication number: 20120049260
    Abstract: A semiconductor device includes a MOS capacitor including a gate, a source, and a drain, a cylinder capacitor including a top electrode, a dielectric layer, and a bottom electrode, and a metal interconnection that connects the gate to the bottom electrode.
    Type: Application
    Filed: August 25, 2011
    Publication date: March 1, 2012
    Applicant: HYNIX SEMICONDUCTOR INC.
    Inventors: Hyun Seok KIM, Jun Ho LEE, Boo Ho JUNG, Sun Ki CHO, Yang Hee KIM, Young Won KIM
  • Publication number: 20120049291
    Abstract: In sophisticated semiconductor devices, resistors may be provided together with high-k metal gate electrode structures by using a polycrystalline silicon material without requiring a deterioration of the crystalline nature and thus conductivity of a conductive metal-containing cap material that is used in combination with the high-k dielectric gate material. In this manner, superior uniformity of the resistance values may be obtained, while at the same time reducing the overall process complexity.
    Type: Application
    Filed: July 18, 2011
    Publication date: March 1, 2012
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Thilo Scheiper, Steven Langdon
  • Publication number: 20120043620
    Abstract: A method for fabricating a field effect transistor device includes forming a first conducting channel and a second conducting channel, forming a first gate stack on the first conducting channel to partially define a first device, forming second gate stack on the second conducting channel to partially define a second device, implanting ions to form a source region and a drain region connected to the first conducting channel and the second conducting channel, forming a masking layer over second device, a portion of the source region and a portion of the drain region, performing a first annealing process operative to change a threshold voltage of the first device, removing a portion of the masking layer to expose the second device, and performing a second annealing process operative to change the threshold voltage of the first device and a threshold voltage of the second device.
    Type: Application
    Filed: August 23, 2010
    Publication date: February 23, 2012
    Applicant: International Business Machines Corporation
    Inventors: Dechao Guo, Keith Kwong Hon Wong
  • Publication number: 20120040503
    Abstract: A fabrication method of integrating a power transistor and a schottky diode on a monolithic substrate is provided. Firstly, a substrate of a first conductive type is provided. Then, at least a polysilicon gate and a second polysilicon structure are formed on the substrate. At least a portion of the second polysilicon structure is located on an upper surface of the substrate. Thereafter, a body of a second conductive type and a source region of the first conductive type are formed between the polysilicon gate and the second polysilicon structure. Then, an interlayer dielectric film is formed on the polysilicon gate to define a source contact window, but the second polysilicon structure is still exposed. Afterward, a portion of the second polysilicon structure is removed to form a schottky contact window to expose the substrate.
    Type: Application
    Filed: August 11, 2010
    Publication date: February 16, 2012
    Applicant: GREAT POWER SEMICONDUCTOR CORP.
    Inventor: KAO-WAY TU
  • Publication number: 20120032275
    Abstract: Contact via holes are etched in a dielectric material layer overlying a semiconductor layer to expose the topmost surface of the semiconductor layer. The contact via holes are extended into the semiconductor material layer by continuing to etch the semiconductor layer so that a trench having semiconductor sidewalls is formed in the semiconductor material layer. A metal layer is deposited over the dielectric material layer and the sidewalls and bottom surface of the trench. Upon an anneal at an elevated temperature, a metal semiconductor alloy region is formed, which includes a top metal semiconductor alloy portion that includes a cavity therein and a bottom metal semiconductor alloy portion that underlies the cavity and including a horizontal portion. A metal contact via is formed within the cavity so that the top metal semiconductor alloy portion laterally surrounds a bottom portion of a bottom portion of the metal contact via.
    Type: Application
    Filed: August 3, 2010
    Publication date: February 9, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Balasubramanian S. Haran, Sivananda K. Kanakasabapathy
  • Publication number: 20120025301
    Abstract: This invention discloses bottom-source lateral diffusion MOS (BS-LDMOS) device. The device has a source region disposed laterally opposite a drain region near a top surface of a semiconductor substrate supporting a gate thereon between the source region and a drain region. The BS-LDMOS device further has a combined sinker-channel region disposed at a depth in the semiconductor substrate entirely below a body region disposed adjacent to the source region near the top surface wherein the combined sinker-channel region functioning as a buried source-body contact for electrically connecting the body region and the source region to a bottom of the substrate functioning as a source electrode. A drift region is disposed near the top surface under the gate and at a distance away from the source region and extending to and encompassing the drain region.
    Type: Application
    Filed: August 25, 2011
    Publication date: February 2, 2012
    Inventors: Sik K. Lui, François Hébert, Anup Bhalla
  • Patent number: 8105895
    Abstract: This invention discloses a semiconductor power device that includes an active cell area having a plurality of power transistor cells. Each of said power transistor cells has a planar Schottky diode that includes a Schottky junction barrier metal covering areas above gaps between separated body regions between two adjacent power transistor cells. The separated body regions further provide a function of adjusting a leakage current of said Schottky diode in each of said power transistor cells. Each of the planar Schottky diodes further includes a Shannon implant region disposed in a gap between the separated body regions of two adjacent power transistor cells for further adjusting a leakage current of said Schottky diode. Each of the power transistor cells further includes heavy body doped regions in the separated body regions next to source regions surrounding said Schottky diode forming a junction barrier Schottky (JBS) pocket region.
    Type: Grant
    Filed: February 17, 2011
    Date of Patent: January 31, 2012
    Assignee: Alpha and Omega Semiconductor Incorporated
    Inventors: Anup Bhalla, Xiaobin Wang, Moses Ho
  • Publication number: 20120021573
    Abstract: A method of forming a series of spaced trenches into a substrate includes forming a plurality of spaced lines over a substrate. Anisotropically etched sidewall spacers are formed on opposing sides of the spaced lines. Individual of the lines have greater maximum width than minimum width of space between immediately adjacent of the spacers between immediately adjacent of the lines. The spaced lines are removed to form a series of alternating first and second mask openings between the spacers. The first mask openings are located where the spaced lines were located and are wider than the second mask openings. Alternating first and second trenches are simultaneously etched into the substrate through the alternating first and second mask openings, respectively, to form the first trenches to be wider and deeper within the substrate than are the second trenches. Other implementations and embodiments are disclosed.
    Type: Application
    Filed: September 29, 2011
    Publication date: January 26, 2012
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Neal L. Davis, Richard Housley, Ranjan Khurana
  • Publication number: 20120018812
    Abstract: Methods and systems evaluate an integrated circuit design for power consumption balance and performance balance, using a computerized device. Based on this process of evaluating the integrated circuit, the methods and systems can identify first sets of integrated circuit transistor structures within the integrated circuit design that need reduced power leakage and second sets of integrated circuit transistor structures that need higher performance to achieve the desired power consumption balance and performance balance. With this, the methods and systems alter the integrated circuit design to include implantation of a first dopant into a substrate before a gate insulator formation for the first sets of integrated circuit transistor structures; and alter the integrated circuit design to include implantation of a second dopant into the substrate before a gate insulator formation for the second sets of integrated circuit transistor structures.
    Type: Application
    Filed: July 21, 2010
    Publication date: January 26, 2012
    Applicant: International Business Machines Corporation
    Inventors: Brent A. Anderson, Terence B. Hook
  • Publication number: 20120012943
    Abstract: The present invention provides an anti-fuse of a semiconductor device and a method of manufacturing the same, which has a stable current level and a stable operation. According to the present invention, in order for the anti-fuse to be stably operated, a region in which a gate and an active region partially overlap with each other is formed, and the overlapped region is destroyed when voltage is supplied. Accordingly, a current level can be stabilized, and stable operation is possible.
    Type: Application
    Filed: July 12, 2011
    Publication date: January 19, 2012
    Applicant: Hynix Semiconductor Inc.
    Inventor: Yong Sun JUNG
  • Publication number: 20120007145
    Abstract: A field effect transistor includes a partial SiGe channel, i.e., a channel including a SiGe channel portion, located underneath a gate electrode and a Si channel portion located underneath an edge of the gate electrode near the drain region. The SiGe channel portion can be located directly underneath a gate dielectric, or can be located underneath a Si channel layer located directly underneath a gate dielectric. The Si channel portion is located at the same depth as the SiGe channel portion, and contacts the drain region of the transistor. By providing a Si channel portion near the drain region, the GIDL current of the transistor is maintained at a level on par with the GIDL current of a transistor having a silicon channel only during an off state.
    Type: Application
    Filed: July 7, 2010
    Publication date: January 12, 2012
    Applicants: GLOBALFOUNDRIES INC., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Xiangdong Chen, Jie Deng, Weipeng Li, Deleep R. Nair, Jae-Eun Park, Daniel Tekleab, Xiaobin Yuan, Nam Sung Kim
  • Patent number: 8088677
    Abstract: A method of manufacturing a semiconductor device including implanting an element selected from fluorine and nitrogen, over the entire region of a semiconductor substrate; oxidizing the semiconductor substrate to thereby form a first oxide film over the surface of the semiconductor substrate; selectively removing the first oxide film in a partial region; oxidizing the semiconductor substrate in the partial region to thereby form a second oxide film thinner than the first oxide film in the partial region; and forming gates to thereby form transistors.
    Type: Grant
    Filed: July 8, 2009
    Date of Patent: January 3, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Gen Tsutsui
  • Publication number: 20110316077
    Abstract: A power semiconductor structure with schottky diode is provided. In the step of forming the gate structure, a separated first polysilicon structure is also formed on the silicon substrate. Then, the silicon substrate is implanted with dopants by using the first polysilicon structure as a mask to form a body and a source region. Afterward, a dielectric layer is deposited on the silicon substrate and an open penetrating the dielectric layer and the first polysilicon structure is formed so as to expose the source region and the drain region below the body. The depth of the open is smaller than the greatest depth of the body. Then, a metal layer is filled into the open to electrically connect to the source region and the drain region.
    Type: Application
    Filed: June 23, 2010
    Publication date: December 29, 2011
    Applicant: GREAT POWER SEMICONDUCTOR CORP.
    Inventors: HSIU WEN HSU, CHUN YING YEH
  • Publication number: 20110303915
    Abstract: Methods for fabricating FET device structures are disclosed. The methods include receiving a fin of a Si based material, and converting a region of the fin into an oxide element. The oxide element exerts pressure onto the fin where a Fin-FET device is fabricated. The exerted pressure induces compressive stress in the device channel of the Fin-FET device. The methods also include receiving a rectangular member of a Si based material and converting a region of the member into an oxide element. The methods further include patterning the member that N fins are formed in parallel, while being abutted by the oxide element, which exerts pressure onto the N fins. Fin-FET devices are fabricated in the compressed fins, which results in compressively stressed device channels. FET devices structures are also disclosed. An FET devices structure has a Fin-FET device with a fin of a Si based material. An oxide element is abutting the fin and exerts pressure onto the fin.
    Type: Application
    Filed: June 10, 2010
    Publication date: December 15, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Pranita Kulkarni, Ghavam G. Shahidi
  • Publication number: 20110298025
    Abstract: At least one semiconductor fin for a capacitor is formed concurrently with other semiconductor fins for field effect transistors. A lower conductive layer is deposited and lithographically patterned to form a lower conductive plate located on the at least one semiconductor fin. A dielectric layer and at least one upper conductive layer are formed and lithographically patterned to form a node dielectric and an upper conductive plate over the lower conductive plate as well as a gate dielectric and a gate conductor over the other semiconductor fins. The lower conductive plate, the node dielectric, and the upper conductive plate collectively form a capacitor. The finFETs may be dual gate finFETs or trigate finFETs. A buried insulator layer may be optionally recessed to increase the capacitance. Alternately, the lower conductive plate may be formed on a planar surface of the buried insulator layer.
    Type: Application
    Filed: June 3, 2010
    Publication date: December 8, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Wilifried E. Haensch, Pranita Kulkarni, Tenko Yamashita
  • Publication number: 20110298052
    Abstract: A vertical structure is formed upon a semiconductor substrate. The vertical structure comprises four dielectric layers parallel to a top surface of the semiconductor substrate and three conducting layers, one conducting layer between each vertically adjacent dielectric layer. A first FET (field effect transistor) and a third FET are arranged parallel to the top surface of the semiconductor and a second FET is arranged orthogonal to the top surface of the semiconductor. All three FETs are independently controllable. The first conducting layer is a gate electrode of the first FET; the second conducting layer is a gate electrode of the second FET, and the third conducting layer is the gate electrode of the third FET.
    Type: Application
    Filed: June 3, 2010
    Publication date: December 8, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Karl R. Erickson, Phil C. Paone, David P. Paulsen, John E. Sheets, II, Kelly L. Williams
  • Publication number: 20110291197
    Abstract: An integrated circuit includes a first diffusion area for a first type transistor. The first type transistor includes a first drain region and a first source region. A second diffusion area for a second type transistor is spaced from the first diffusion area. The second type transistor includes a second drain region and a second source region. A gate electrode continuously extends across the first diffusion area and the second diffusion area in a routing direction. The first metallic layer is electrically coupled with the first source region. The first metallic layer and the first diffusion area overlap with a first distance. A second metallic layer is electrically coupled with the first drain region and the second drain region. The second metallic layer and the first diffusion area overlap with a second distance. The first distance is larger than the second distance.
    Type: Application
    Filed: May 26, 2010
    Publication date: December 1, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chung-Cheng WU, Ali KESHAVARZI, Ka Hing FUNG, Ta-Pen GUO, Jiann-Tyng TZENG, Yen-Ming CHEN, Shyue-Shyh LIN, Shyh-Wei WANG, Sheng-Jier YANG, Hsiang-Jen TSENG, David B. SCOTT, Min CAO
  • Publication number: 20110291171
    Abstract: A variable capacitance device including a plurality of FETs, the sources and drains of each FET being coupled to a first terminal, the gates of each FET being coupled to a second terminal, the capacitance of said device between said first and second terminals varying as a function of the voltage across said terminals, the device further including a biasing providing a respective backgate bias voltage to each the FETs setting a respective gate threshold voltage thereof. The aggregate V-C characteristic can be tuned as desired, either at design time or dynamically. The greater the number of FETs forming the varactor, the greater the number of possible Vt values that can be individually set, so that arbitrary V-C characteristics can be more closely approximated.
    Type: Application
    Filed: March 17, 2011
    Publication date: December 1, 2011
    Applicant: International Business Machines Corporation
    Inventors: John J. Pekarik, William F. Clark, JR., Robert J. Gauthier, JR., Yun Shi, Yanli Zhang
  • Publication number: 20110291198
    Abstract: A method for forming a field effect transistor device includes forming an oxide layer on a substrate, forming a dielectric layer on the oxide layer, forming a first TiN layer on the dielectric layer, forming a metallic layer on the first layer, forming a second TiN layer on the metallic layer, removing a portion of the first TiN layer, the metallic layer, and the second TiN layer to expose a portion of the dielectric layer, forming a layer of stoichiometric TiN on the exposed portion of the dielectric layer and the second TiN layer, heating the device, and forming a polysilicon layer on the device.
    Type: Application
    Filed: May 27, 2010
    Publication date: December 1, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Takashi Ando, Changhwan Choi, Unoh Kwon, Vijay Narayanan
  • Publication number: 20110284967
    Abstract: A method for fabricating an FET device is disclosed. The method includes Fin-FET devices with fins that are composed of a first material, and then merged together by epitaxial deposition of a second material. The fins are vertically recesses using a selective etch. A continuous silicide layer is formed over the increased surface areas of the first material and the second material, leading to smaller resistance. A stress liner overlaying the FET device is afterwards deposited. An FET device is also disclosed, which FET device includes a plurality of Fin-FET devices, the fins of which are composed of a first material. The FET device includes a second material, which is epitaxially merging the fins. The fins are vertically recessed relative to an upper surface of the second material. The FET device furthermore includes a continuous silicide layer formed over the fins and over the second material, and a stress liner covering the device.
    Type: Application
    Filed: May 24, 2010
    Publication date: November 24, 2011
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Pranita Kulkarni, Ghavam G. Shahidi
  • Publication number: 20110278666
    Abstract: A trench MOSFET with integrated Schottky diode in a single cell includes a plurality of body regions extending to an epitaxial layer; a first trench extending through one of the body regions and reaching the epitaxial layer, the first trench being substantially filled by a conductive material that is separated from a sidewall of the first trench by a layer of dielectric material; and a second trench positioned between two adjacent body regions and extended into the epitaxial layer. Two source regions, two heavy body contact regions and the two adjacent body regions surround the second trench. The trench MOSFET further includes a Schottky diode having a metal layer formed along a sidewall and near a bottom of the second trench. In its manufacturing method, the spacer and self-alignment are processed two times, thus low cost and high reliability performance of the device are achieved at the same time.
    Type: Application
    Filed: May 13, 2010
    Publication date: November 17, 2011
    Inventors: Wei Liu, Fan Wang, Yichuan Cheng
  • Publication number: 20110266624
    Abstract: An ESD protection device for an I/O pad (401); the device comprising a MOS transistor (420) having at least one elongated source region (422) and at least one elongated drain region (421) in a substrate (400) of first conductivity, the length (420a) of the source and drain regions oriented in a direction, the source tied to ground potential (430); a diode having an area including at least one elongated anode region and at least one elongated cathode region in a well of opposite conductivity, the lengths of the anode and cathode regions oriented in the same direction as the transistor regions; the diode area and the well divided normal to the lengths of the anode and cathode regions into two portions (anode portions 411x, 411y, cathode portions 412x, 412y, length portions 410x, 410y, well portions 440x, 440y); and the anode portions connected to the I/O pad, and the cathode portions connected to the transistor drain.
    Type: Application
    Filed: April 30, 2010
    Publication date: November 3, 2011
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Charvaka DUVVURY, Yen-Yi LIN
  • Publication number: 20110269280
    Abstract: A semiconductor device, including: a first group of transistors formed on a semiconductor substrate; and a second group of transistors formed on the semiconductor substrate, each of which is lower in operating voltage than each of the transistors in the first group; wherein each of the transistors in the first group includes a first gate electrode formed on the semiconductor substrate through a first gate insulating film, and a silicide layer formed on the first gate electrode; each of the transistors in the second group includes a second gate electrode formed in a trench for gate formation, formed in an insulating film above the semiconductor substrate, through a second gate insulating film; and a protective film is formed so as to cover the silicide layer on each of the first gate electrodes of the first group of transistors.
    Type: Application
    Filed: July 13, 2011
    Publication date: November 3, 2011
    Applicant: SONY CORPORATION
    Inventors: Junli Wang, Tomoyuki Hirano, Toyotaka Kataoka, Yoshiya Hagimoto
  • Publication number: 20110254095
    Abstract: An object is to reduce the number of manufacturing steps of a semiconductor device, to improve yield of a semiconductor device, or to reduce manufacturing cost of a semiconductor device. One embodiment of the present invention relates to a semiconductor device and a method for manufacturing the semiconductor device. The semiconductor device includes, over a substrate, a first transistor having a single crystal semiconductor layer in a channel formation region, a second transistor that is isolated from the first transistor with an insulating layer positioned therebetween and has an oxide semiconductor layer in a channel formation region, and a diode having a single crystal semiconductor layer and a oxide semiconductor layer.
    Type: Application
    Filed: April 11, 2011
    Publication date: October 20, 2011
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Koichiro KAMATA, Yoshiaki ITO, Takuro OHMARU
  • Publication number: 20110248342
    Abstract: A semiconductor integrated circuit device and method of fabricating a semiconductor integrated circuit device, the method including preparing a first conductivity type substrate including a first conductivity type impurity such that the first conductivity type substrate has a first impurity concentration; forming a buried impurity layer using blank implant such that the buried impurity layer includes a first conductivity type impurity and has a second impurity concentration higher than the first impurity concentration; forming an epitaxial layer on the substrate having the buried impurity layer thereon; and forming semiconductor devices and a device isolation region in or on the epitaxial layer.
    Type: Application
    Filed: March 23, 2011
    Publication date: October 13, 2011
    Inventors: Yong-Don KIM, Hoon Chang, Seo-In Pak
  • Publication number: 20110248355
    Abstract: An improvement is achieved in the performance of a semiconductor device in which a metal silicide layer is formed by a salicide process. In a main surface of a semiconductor substrate, a plurality of MISFETs are formed, each having a gate electrode, and source/drain regions over each of which the metal silicide layer is formed. The metal silicide layer is formed of a silicide of nickel and a first metal element including at least one selected from the group consisting of Pt, Pd, V, Er, and Yb. A grain size in the metal silicide layer is smaller than the width in a gate length direction of the source/drain region included in the source/drain regions of the plurality of MISFETs formed in the main surface of the semiconductor substrate, and disposed between the gate electrodes adjacent in closest proximity to each other in the gate length direction.
    Type: Application
    Filed: April 13, 2011
    Publication date: October 13, 2011
    Inventor: Takuya FUTASE
  • Publication number: 20110241092
    Abstract: Transistors (21, 41) employing floating buried layers (BL) (72) may exhibit transient breakdown voltage (BVdss)TR significantly less than (BVdss)DC. It is found that this occurs because the floating BL (72) fails to rapidly follow the applied transient, causing the local electric field within the device to temporarily exceed avalanche conditions. (BVdss)TR of such transistors (69. 69?) can be improved to equal or exceed (BVdss)DC by including a charge pump capacitance (94, 94?) coupling the floating BL (72) to whichever high-side terminal (28, 47) receives the transient. The charge pump capacitance (94, 94?) may be external to the transistor (69, 69?), may be formed on the device surface (71) or, may be formed internally to the transistor (69-3, 69?-3) using a dielectric deep trench isolation wall (100) separating DC isolated sinker regions (86, 88) extending to the BL (72). The improvement is particularly useful for LDMOS devices.
    Type: Application
    Filed: March 30, 2010
    Publication date: October 6, 2011
    Applicant: FREESCALE SEMICONDUCTOR, INC.
    Inventors: Vishnu K. Khemka, Tahir A. Khan, Ronghua Zhu, Weixiao Huang, Bernhard H. Grote
  • Publication number: 20110227071
    Abstract: Some embodiments include methods of forming isolation structures. A semiconductor base may be provided to have a crystalline semiconductor material projection between a pair of openings. SOD material (such as, for example, polysilazane) may be flowed within said openings to fill the openings. After the openings are filled with the SOD material, one or more dopant species may be implanted into the projection to amorphize the crystalline semiconductor material within an upper portion of said projection. The SOD material may then be annealed at a temperature of at least about 400° C. to form isolation structures. Some embodiments include semiconductor constructions that include a semiconductor material base having a projection between a pair of openings. The projection may have an upper region over a lower region, with the upper region being at least 75% amorphous, and with the lower region being entirely crystalline.
    Type: Application
    Filed: March 22, 2010
    Publication date: September 22, 2011
    Inventors: Vladimir Mikhalev, Jim Fulford, Yongjun Jeff Hu, Gordon A. Haller, Lequn Liu
  • Publication number: 20110227162
    Abstract: A method includes forming first and second fins of a finFET extending above a semiconductor substrate, with a shallow trench isolation (STI) region in between, and a distance between a top surface of the STI region and top surfaces of the first and second fins. First and second fin extensions are provided on top and side surfaces of the first and second fins above the top surface of the STI region. Material is removed from the STI region, to increase the distance between the top surface of the STI region and top surfaces of the first and second fins. A conformal stressor dielectric material is deposited over the fins and STI region. The conformal dielectric stressor material is reflowed, to flow into a space between the first and second fins above a top surface of the STI region, to apply stress to a channel of the finFET.
    Type: Application
    Filed: March 17, 2010
    Publication date: September 22, 2011
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chia-Pin LIN, Chien-Tai CHAN, Hsien-Chin LIN, Shyue-Shyh LIN
  • Publication number: 20110227167
    Abstract: The present disclosure provides reduced substrate coupling for inductors in semiconductor devices. A method of fabricating a semiconductor device having reduced substrate coupling includes providing a substrate having a first region and a second region. The method also includes forming a first gate structure over the first region and a second gate structure over the second region, wherein the first and second gate structures each include a dummy gate. The method next includes forming an inter layer dielectric (ILD) over the substrate and forming a photoresist (PR) layer over the second gate structure. Then, the method includes removing the dummy gate from the first gate structure, thereby forming a trench and forming a metal gate in the trench so that a transistor may be formed in the first region, which includes a metal gate, and an inductor component may be formed over the second region, which does not include a metal gate.
    Type: Application
    Filed: March 16, 2010
    Publication date: September 22, 2011
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., ("TSMC")
    Inventors: Harry Hak-Lay Chuang, Ming Zhu, Lee-Wee Teo
  • Publication number: 20110220997
    Abstract: The present invention discloses an LDMOS device having an increased punch-through voltage and a method for making same. The LDMOS device includes: a substrate; a well of a first conductive type formed in the substrate; an isolation region formed in the substrate; a body region of a second conductive type in the well; a source in the body region; a drain in the well; a gate structure on the substrate; and a first conductive type dopant region beneath the body region, for increasing a punch-through voltage.
    Type: Application
    Filed: March 10, 2010
    Publication date: September 15, 2011
    Inventors: Tsung-Yi Huang, Huan-Ping Chu, Ching-Yao Yang, Hung-Der Su