To Change Their Surface-physical Characteristics Or Shape, E.g., Etching, Polishing, Cutting (epo) Patents (Class 257/E21.214)

  • Publication number: 20130059442
    Abstract: A method for adjusting the trench depth of a substrate has the steps as follows. Forming a patterned covering layer on the substrate, wherein the patterned covering layer defines a wider spacing and a narrower spacing. Forming a wider buffering layer arranged in the wider spacing and a narrower buffering layer arranged in the narrower spacing. The thickness of the narrower buffering layer is thinner than the wider buffering layer. Implementing dry etching process to make the substrate corresponding to the wider and the narrower buffering layers form a plurality of trenches. When etching the wider and the narrower buffering layers, the narrower buffering layer is removed firstly, so that the substrate corresponding to the narrower buffering layer will be etched early than the substrate corresponding to the wider buffering layer.
    Type: Application
    Filed: October 27, 2011
    Publication date: March 7, 2013
    Applicant: INOTERA MEMORIES, INC.
    Inventors: TZUNG-HAN LEE, CHUNG-LIN HUANG
  • Patent number: 8389407
    Abstract: Some embodiments include methods of forming openings. For instance, a construction may have a material over a plurality of electrically conductive lines. A plurality of annular features may be formed over the material, with the annular features crossing the lines. A patterned mask may be formed over the annular features, with the patterned mask leaving segments of the annular features exposed through a window in the patterned mask. The exposed segments of the annular features may define a plurality of openings, and such openings may be transferred into the material to form openings extending to the electrically conductive lines.
    Type: Grant
    Filed: June 7, 2012
    Date of Patent: March 5, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Vishal Sipani, Baosuo Zhou, Ming-Chuan Yang
  • Patent number: 8389384
    Abstract: An object to be processed 1 comprising a substrate 4 and a plurality of functional devices 15 formed on a front face 3 of the substrate 4 is irradiated with laser light L while locating a converging point P within the substrate 4, so as to form at least one row of a divided modified region 72, at least one row of a quality modified region 71 positioned between the divided modified region 72 and the front face 3 of the substrate 4, and at least one row of an HC modified region 73 positioned between the divided modified region 72 and a rear face 21 of the substrate 4 for one line to cut 5. Here, in a direction along the line to cut, a forming density of the divided modified region 72 is made lower than that of the quality modified region 71 and that of the HC modified region 73.
    Type: Grant
    Filed: December 26, 2006
    Date of Patent: March 5, 2013
    Assignee: Hamamatsu Photonics K.K.
    Inventors: Takeshi Sakamoto, Kenichi Muramatsu
  • Patent number: 8389413
    Abstract: A sidewall core that is slimmed is formed in a memory cell array area by patterning a polysilicon layer formed over a silicon nitride layer. A silicon oxide layer that at least covers side surfaces of the sidewall core and the polysilicon layer are sequentially formed and an embedded hard mask is formed by etching back the polysilicon layer. Thereafter, the silicon nitride layer within the memory cell array area that does not overlap with the sidewall core or the embedded hard mask and the silicon nitride layer within a peripheral circuit area that overlaps with a positioning monitor mark are exposed by etching the silicon oxide layer, and then the silicon nitride layer that is to be etched is patterned.
    Type: Grant
    Filed: February 23, 2011
    Date of Patent: March 5, 2013
    Assignee: Elpida Memory, Inc.
    Inventor: Masahiko Ohuchi
  • Patent number: 8389412
    Abstract: The invention relates to a finishing method for a silicon-on-insulator (SOI) substrate that includes an oxide layer buried between an active silicon layer and a support layer of silicon. The method includes applying the following steps in succession: a first rapid thermal annealing (RTA) of the SOI substrate; a sacrificial oxidation of the active silicon layer of the substrate conducted to remove a first oxide thickness; a second RTA of the substrate; and a second sacrificial oxidation of the active silicon layer conducted to remove a second oxide thickness that is thinner than the first oxide thickness.
    Type: Grant
    Filed: March 17, 2010
    Date of Patent: March 5, 2013
    Assignee: Soitec
    Inventors: Walter Schwarzenbach, Sébastien Kerdiles, Patrick Reynaud, Ludovic Ecarnot, Eric Neyret
  • Publication number: 20130049162
    Abstract: A semiconductor device and a manufacturing method therefor is based on the fact that a thinner liner oxide layer on the bottom of the trenches can lead to a higher subsequent deposition rate. After forming trenches and a liner oxide layer and before depositing a filling oxide layer in the trenches, a portion of or all of the thickness of the liner oxide layer on bottom of trenches in an isolation area is removed. Removing some or all of a liner oxide layer on the bottom of trenches in an isolation area can improve the deposition rate for trenches in such that the difference in thickness can be reduced for deposited filling oxide layer between isolation area and dense area.
    Type: Application
    Filed: January 6, 2012
    Publication date: February 28, 2013
    Applicant: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (BEIJING) CORPORATION
    Inventors: Qun Shao, Zhongshan Hong
  • Publication number: 20130049158
    Abstract: Hemispheres and spheres are formed and employed for a plurality of applications. Hemispheres are employed to form a substrate having an upper surface and a lower surface. The upper surface includes peaks of pillars which have a base attached to the lower surface. The peaks have a density defined at the upper surface by an array of hemispherical metal structures that act as a mask during an etch to remove substrate material down to the lower surface during formation of the pillars. The pillars are dense and uniform and include a microscale average diameter. The spheres are formed as independent metal spheres or nanoparticles for other applications.
    Type: Application
    Filed: August 30, 2011
    Publication date: February 28, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Augustin J. Hong, Woo-Shik Jung, Jeehwan Kim, Jae-woong Nah, Devendra K. Sadana
  • Publication number: 20130052835
    Abstract: A pattern transfer apparatus according to one embodiment includes a transfer region selecting part that performs operation in which when performing pattern transfer from a template provided with N transfer regions (N is an integer of 2 or larger) to a transferring substrate a plurality of times, 1 to N?1 transfer regions, which are to be used to perform the transfer to regions of the transferring substrate corresponding to part of the N transfer regions, are selected such that the number of the transfer to be performed using each of the N transfer regions is evened out. ened out.
    Type: Application
    Filed: March 16, 2012
    Publication date: February 28, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventor: Yuji KOBAYASHI
  • Publication number: 20130052824
    Abstract: To improve the manufacturing yield of semiconductor devices. Over a semiconductor wafer, a film to be processed is formed; over that film, an antireflection film is formed; and, over the antireflection film, a resist layer is formed. Then, the resist layer is subjected to liquid immersion exposure, and a development and rinsing process to form a resist pattern. After that, the antireflection film and the film to be processed are etched sequentially using the resist pattern as an etching mask. In the development process of the resist layer, the antireflection film is exposed from parts from which the resist layer has been removed by the development process. When performing a rinsing process after the development, the water repellent property of the surface of the antireflection film exposed from the resist layer is not lower than the water repellent property of the surface of the resist layer.
    Type: Application
    Filed: August 21, 2012
    Publication date: February 28, 2013
    Inventor: Takuya HAGIWARA
  • Patent number: 8383437
    Abstract: An etchant according to exemplary embodiments of the present invention includes about 0.5 wt % to about 20 wt % of persulfate, about 0.01 wt % to about 2 wt % of a fluorine compound, about 1 wt % to about 10 wt % of inorganic acid, about 0.5 wt % to about 5 wt % of a cyclic amine compound, about 0.1 wt % to about 5 wt % of a chlorine compound, about 0.05 wt % to about 3 wt % of copper salt, about 0.1 wt % to about 10 wt % of organic acid or organic acid salt, and water.
    Type: Grant
    Filed: September 1, 2011
    Date of Patent: February 26, 2013
    Assignees: Samsung Display Co., Ltd., Dongwoo Fine-Chem Co., Ltd.
    Inventors: Ji-Young Park, Shin-Il Choi, Jong-Hyun Choung, Sang Gab Kim, Seon-Il Kim, Sang-Tae Kim, Joon-Woo Lee, Young-Chul Park, Young-Jun Jin, Kyong-Min Kang, Suck-Jun Lee, O-Byoung Kwon, In-Ho Yu, Sang-Hoon Jang, Min-Ki Lim, Yu-Jin Lee
  • Publication number: 20130043531
    Abstract: A semiconductor device is disclosed having vertically stacked (also referred to as vertically offset) transistors in a semiconductor fin. The semiconductor fin may include lower transistors separated by a first trench and having a source and drain in a first doped region of the fin. The semiconductor fin also includes upper transistors vertically offset from the first transistors and separated by a second trench and having a source and drain in a second doped region of the fin. Upper and lower stacked gates may be disposed on the sidewalls of the fin, such that the lower transistors are activated by biasing the lower gates and upper transistors are activated by biasing the upper gates. Methods of manufacturing and operating the device are also disclosed.
    Type: Application
    Filed: October 22, 2012
    Publication date: February 21, 2013
    Applicant: Micron Technology, Inc.
    Inventor: Micron Technology, Inc.
  • Publication number: 20130043486
    Abstract: Systems and methods for preparing freestanding films using laser-assisted chemical etch (LACE), and freestanding films formed using same, are provided. In accordance with one aspect a substrate has a surface and a portion defining an isotropically defined cavity; and a substantially continuous film is disposed at the substrate surface and spans the isotropically defined cavity. In accordance with another aspect, a substrate has a surface and a portion defining an isotropically defined cavity; and a film is disposed at the substrate surface and spans the isotropically defined cavity, the film including at least one of hafnium oxide (HfO2), diamond-like carbon, graphene, and silicon carbide (SiC) of a predetermined phase. In accordance with still another aspect, a substrate has a surface and a portion defining an isotropically defined cavity; and a multi-layer film is disposed at the substrate surface and spans the isotropically defined cavity.
    Type: Application
    Filed: October 18, 2012
    Publication date: February 21, 2013
    Applicant: THE AEROSPACE CORPORATION
    Inventors: Margaret H. Abraham, David P. Taylor
  • Patent number: 8377798
    Abstract: A method for wafer to wafer bonding in semiconductor packaging provides for roughening the bonding surfaces in one embodiment. Also provided is a method for passivating the bonding surfaces with a lower melting point material that becomes forced away from the bonding interface during bonding. Also provided is a method for forming an eutectic at the bonding interface to reduce the impact of any native oxide formation at the bonding interface.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: February 19, 2013
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Jung-Huei Peng, Hsin-Ting Huang, Yao-Te Huang, Shang-Ying Tsai, Ping-Yin Liu
  • Publication number: 20130040454
    Abstract: A method for modifying the chemistry or microstructure of silicon-based technology via an annealing process is provided. The method includes depositing a reactive material layer within a selected proximity to an interconnect, igniting the reactive material layer, and annealing the interconnect via heat transferred from the ignited reactive material layer. The method can also be implemented in connection with a silicide/silicon interface as well as a zone of silicon-based technology.
    Type: Application
    Filed: August 8, 2011
    Publication date: February 14, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Cyril Cabral, JR., Gregory M. Fritz, Christian Lavoie, Conal E. Murray, Kenneth P. Rodbell
  • Publication number: 20130040464
    Abstract: Methods of patterning low-k dielectric films are described.
    Type: Application
    Filed: October 16, 2012
    Publication date: February 14, 2013
    Inventors: Yifeng Zhou, Srinivas D. Nemani, Khoi Doan, Jeremiah T. Pender
  • Publication number: 20130037915
    Abstract: A method provides a layout defining a structure to be patterned onto a substrate. The structure is registered with a predefined grid of the layout. The method includes locally stretching the grid in a first portion of a layout causing a problematic spot on the substrate.
    Type: Application
    Filed: August 11, 2011
    Publication date: February 14, 2013
    Applicant: Infineon Technologies AG
    Inventor: Hanno Melzner
  • Patent number: 8372728
    Abstract: The invention relates to a process for fabricating a multilayer structure that includes bonding a first wafer onto a second wafer, where the first wafer may have a chamfered edge and the bonding interface has an adhesion energy of less than or equal to 1 J/m2, and thinning the first wafer so as to form a transferred layer, where before thinning the first wafer, a step of trimming the edge of the first wafer is carried out using a grinding wheel having a working surface which comprises grit particles with an average size of greater than or equal to 800 mesh or less than or equal to 18 microns, and wherein the trimming step is carried out by lowering the grinding wheel at a rate of descent of greater than or equal to 5 microns per second, such that the descent of the grinding wheel into the first wafer continues to a distance from the bonding interface that is less than or equal to 30 ?m.
    Type: Grant
    Filed: March 1, 2011
    Date of Patent: February 12, 2013
    Assignee: Soitec
    Inventor: Alexandre Vaufredaz
  • Patent number: 8373254
    Abstract: A crack prevention structure that reduces integrated circuit corner peeling and reduces cracking is disclosed. The crack prevention structure comprises a semiconductor substrate; a first plurality of dielectric layers of a first material disposed over the semiconductor substrate; a second plurality of dielectric layers of a second material different than the first material, disposed on the first plurality of dielectric layers, wherein the first plurality of dielectric layers and the second plurality of dielectric layers meet at an interface; and a plurality of metal structures and a plurality of via structures formed through the interface of the first plurality of dielectric layers and the second plurality of dielectric layers.
    Type: Grant
    Filed: July 29, 2008
    Date of Patent: February 12, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hsien-Wei Chen, Yu-Wen Liu, Hao-Yi Tsai
  • Patent number: 8372753
    Abstract: A method and apparatus for cleaning layers of solar cell substrates is disclosed. The substrate is exposed to a reactive gas that may comprise neutral radicals comprising nitrogen and fluorine, or that may comprise anhydrous HF and water, alcohol, or a mixture of water and alcohol. The reactive gas may further comprise a carrier gas. The reactive gas etches the solar cell substrate surface, removing oxygen and other impurities. When exposed to the neutral radicals, the substrate grows a thin film containing ammonium hexafluorosilicate, which is subsequently removed by heat treatment.
    Type: Grant
    Filed: June 28, 2010
    Date of Patent: February 12, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Virendra V S Rana, Michael P. Stewart
  • Publication number: 20130029471
    Abstract: A device and method of reducing residual STI corner defects in a hybrid orientation transistor comprising, forming a direct silicon bonded substrate wherein a second silicon layer with a second crystal orientation is bonded to a handle substrate with a first crystal orientation, forming a pad oxide layer on the second silicon layer, forming a nitride layer on the pad oxide layer, forming an isolation trench within the direct silicon bonded substrate through the second silicon layer and into the handle substrate, patterning a PMOS region of the direct silicon bonded substrate utilizing photoresist including a portion of the isolation trench, implanting and amorphizing an NMOS region of the direct silicon bonded substrate, removing the photoresist, performing solid phase epitaxy, performing a recrystallization anneal, forming an STI liner, completing front end processing, and performing back end processing.
    Type: Application
    Filed: July 9, 2012
    Publication date: January 31, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: Angelo Pinto, Periannan R. Chidambaram, Rick L. Wise
  • Publication number: 20130026590
    Abstract: A method for manufacturing a sloped structure is disclosed. The method includes the steps of: (a) forming a sacrificial film above a substrate; (b) forming a first film above the sacrificial film; (c) forming a second film having a first portion connected to the substrate, a second portion connected to the first film, and a third portion positioned between the first portion and the second portion; (d) removing the sacrificial film; and (e) bending the third portion of the second film after the step (d), thereby sloping the first film with respect to the substrate.
    Type: Application
    Filed: July 3, 2012
    Publication date: January 31, 2013
    Applicant: SEIKO EPSON CORPORATION
    Inventor: Takahiko YOSHIZAWA
  • Publication number: 20130029496
    Abstract: A gas panel according to various aspects of the present invention is configured to deliver a constant flow rate of gases to a reaction chamber during a deposition process step. In one embodiment, the gas panel comprises a deposition sub-panel having a deposition injection line, a deposition vent line, and at least one deposition process gas line. The deposition injection line supplies a mass flow rate of a carrier gas to a reactor chamber. Each deposition process gas line may include a pair of switching valves that are configured to selectively direct a deposition process gas to the reactor chamber or a vent line. The deposition vent line also includes a switching valve configured to selectively direct a second mass flow rate of the carrier gas that is equal to the sum of the mass flow rate for all of the deposition process gases to the reactor chamber or a vent line.
    Type: Application
    Filed: July 29, 2011
    Publication date: January 31, 2013
    Inventors: Matthias Bauer, Gregory M. Bartlett
  • Patent number: 8361888
    Abstract: The present invention provides a method for manufacturing an SOI wafer wherein an HCl gas is mixed in a reactive gas at a step of forming a silicon epitaxial layer on an entire surface of an SOI layer of the SOI wafer having an oxide film on a terrace portion. As a result, it is possible to provide the method for manufacturing an SOI wafer that can easily grow the silicon epitaxial layer on the SOI layer of the SOI wafer having the oxide film on the terrace portion, suppress warpage of the SOI wafer to be manufactured, reduce generation of particles even at subsequent steps, e.g., device manufacture, and decrease a cost for manufacturing such an SOI wafer.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: January 29, 2013
    Assignee: Shin-Etsu Handotai Co., Ltd.
    Inventors: Isao Yokokawa, Nobuhiko Noto
  • Publication number: 20130020653
    Abstract: The present invention relates to a shallow trench isolation structure, manufacturing method thereof and a device based on the structure. The present invention provides a method for manufacturing a shallow trench isolation (STI) structure, characterized in comprising the following steps: providing a semiconductor substrate; forming an insulating medium on said semiconductor substrate; etching a part of the insulating medium by using a mask to expose the semiconductor substrate thereunder, the unetched insulating medium forming STI regions; and epitaxially growing a semiconductor layer on said semiconductor substrate between said STI regions as an active region. With the method provided by the present invention, the problem of filling a small-size trench is solved and the problem of STI step height is overcome.
    Type: Application
    Filed: August 3, 2011
    Publication date: January 24, 2013
    Inventor: Jiang Yan
  • Publication number: 20130023123
    Abstract: Methods of removing photoresists from low-k dielectric films are described. For example, a method includes forming and patterning a photoresist layer above a low-k dielectric layer, the low-k dielectric layer disposed above a substrate. Trenches are formed in the exposed portions of the low-k dielectric layer. A plurality of process cycles is performed to remove the photoresist layer. Each process cycle includes forming a silicon source layer on surfaces of the trenches of the low-k dielectric layer, and exposing the photoresist layer to an oxygen source to form an Si—O-containing layer on the surfaces of the trenches of the low-k dielectric layer and to remove at least a portion of the photoresist layer.
    Type: Application
    Filed: July 20, 2011
    Publication date: January 24, 2013
    Inventors: Yifeng Zhou, Srinivas D. Nemani, Khoi Doan, Jeremiah T. P. Pender
  • Publication number: 20130020611
    Abstract: A semiconductor device and a method of forming a structure in a target substrate for manufacturing a semiconductor device is provided. The method comprises the step of providing a masking layer on the target substrate and providing a stair-like profile in the masking layer such that the height of a step of the stair-like profile is smaller than the thickness of the masking layer. Further, the method comprises the step of performing anisotropic etching of the masking layer and the target substrate simultaneously such that a structure having a stair-like profile is formed in the target substrate. The semiconductor device comprises a target substrate including a first region made of a first type of semiconductor material and a second region made of a second type of semiconductor material.
    Type: Application
    Filed: September 27, 2012
    Publication date: January 24, 2013
    Applicant: FAIRCHILD SEMICONDUCTOR CORPORATION
    Inventor: Fairchild Semiconductor Corporation
  • Publication number: 20130019918
    Abstract: A method for forming a thermoelectric element for use in a thermoelectric device comprises forming a mask adjacent to a substrate. The mask can include three-dimensional structures phase-separated in a polymer matrix. The three-dimensional structures can be removed to provide a plurality of holes in the polymer matrix. The plurality of holes can expose portions of the substrate. A layer of a metallic material can be deposited adjacent to the mask and exposed portions of the substrate. The mask can then be removed. The metallic material is then exposed to an oxidizing agent and an etchant to form holes or wires in the substrate.
    Type: Application
    Filed: July 16, 2012
    Publication date: January 24, 2013
    Applicant: The Regents of the University of Michigan
    Inventors: Akram I. Boukai, Anish Tuteja, Duckhyun Lee
  • Publication number: 20130023130
    Abstract: An apparatus and system for stirring liquid inside a flow cell. In one implementation, the apparatus includes a rotatable disc configured to receive liquid at a top side of the disc and distribute the liquid substantially evenly around a periphery of the flow cell. The disc has a triangular cross sectional area. The apparatus may further include a set of fins attached to a bottom side of the disc, wherein the set of fins is configured to draw the liquid from the periphery of the flow cell into the center of the flow cell.
    Type: Application
    Filed: September 27, 2012
    Publication date: January 24, 2013
    Applicant: Intermolecular, Inc.
    Inventor: Intermolecular, Inc.
  • Publication number: 20130017769
    Abstract: An object of the present invention is to provide a polishing pad which enables high accuracy optical end-point detection in a state where polishing is carrying out, and which can prevent slurry leakage from a polishing layer to a cushion layer even in the case of being used for a long period. Another object is to provide a method for producing a semiconductor device using the polishing pad. The present invention relates to a polishing pad in which a polishing layer having a polishing region and a light-transmitting region, and a cushion layer having a through hole are laminated via a double-sided adhesive sheet such that the light-transmitting region and the through hole are laid one upon another, wherein a transparent member is stuck on an adhesive layer of the double-sided adhesive sheet in the through hole.
    Type: Application
    Filed: April 7, 2011
    Publication date: January 17, 2013
    Applicant: TOYO TIRE & RUBBER CO., LTD.
    Inventor: Tsuyoshi Kimura
  • Publication number: 20130017626
    Abstract: According to one embodiment, an etching apparatus includes a stage having an upper surface and a lower surface, and being capable of mounting a substrate on the upper surface, a chamber covering above the upper surface, a lower electrode having an opening portion, and provided under the lower surface, a gas supplying portion supplying an etching gas in the chamber, a high-frequency power source portion executing a plasma gasification of the etching gas by applying a high-frequency to the lower electrode, a micro wave generating portion setting a temperature of the substrate within an optimum range by applying a micro wave to the substrate through the opening portion, and a control portion controlling the gas supplying portion, the high-frequency power source portion and the micro wave generating portion.
    Type: Application
    Filed: March 21, 2012
    Publication date: January 17, 2013
    Inventor: Kazuhiro TOMIOKA
  • Publication number: 20130017667
    Abstract: A semiconductor device includes a substrate having at least one nitride material lined isolation cavity; and a hafnium containing dielectric fill at least partially contained in and at least partially covering at least a portion of the at least one nitride lined isolation cavity.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 17, 2013
    Applicant: International Business Machines Corporation (YT)
    Inventors: Kangguo Cheng, Bruce B. Doris, Tenko Yamashita, Ying Zhang
  • Publication number: 20130015442
    Abstract: Methods of forming semiconductor structures include transferring a portion (116a) of a donor structure to a processed semiconductor structure (102) that includes at least one non-planar surface. An amorphous film (144) may be formed over at least one non-planar surface of the bonded semiconductor structure, and the amorphous film may be planarized to form one or more planarized surfaces. Semiconductor structures include a bonded semiconductor structure having at least one non-planar surface, and an amorphous film disposed over the at least one non-planar surface. The bonded semiconductor structure may include a processed semiconductor structure and a portion of a single crystal donor structure attached to a non-planar surface of the processed semiconductor structure.
    Type: Application
    Filed: February 22, 2011
    Publication date: January 17, 2013
    Applicant: SOITEC
    Inventors: Carlos Mazure, Bich-Yen Nguyen, Mariam Sadaka
  • Patent number: 8354347
    Abstract: A composite etch stop layer which comprises primary and secondary stop layers is used to form contacts in a dielectric layer to contact regions in a substrate. The secondary etch stop layer includes a high-k dielectric material to achieve high etch selectivity with the dielectric layer during contact formation. The secondary stop layer is removed to expose the contact regions. Removal of the secondary stop layer is achieved with high selectivity to the materials therebelow.
    Type: Grant
    Filed: December 11, 2007
    Date of Patent: January 15, 2013
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventors: Jianhui Ye, Huang Liu, Alex K H See, Wei Lu, Chun Hui Low, Chim Seng Seet, Mei Sheng Zhou, Liang Choo Hsia
  • Publication number: 20130012025
    Abstract: A method for fabrication of features for an integrated circuit includes patterning a mandrel layer to include structures having a plurality of different widths on a surface of an integrated circuit device. Exposed sidewalls of the structures are reacted to integrally form a new compound in the sidewalls such that the new compound extends into the exposed sidewalls by a controlled amount to form pillars. One or more layers below the pillars are etched using the pillars as an etch mask to form features for an integrated circuit device.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: KANGGUO CHENG, BRUCE B. DORIS, STEVEN J. HOLMES, XUEFENG HUA, YING ZHANG
  • Publication number: 20130012024
    Abstract: A process for making cavities in a multilayer structure by providing a multilayer structure that includes a surface layer, a planar support substrate and a buried layer between the layer and the support substrate, wherein the buried layer comprises areas of first and second materials with the first material having a higher etching rate than the second material; producing an opening in the surface layer that extends to the area(s) of the first material of the buried layer; and etching the first material to form at least one cavity in the buried layer.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: SOITEC
    Inventor: Bernard Aspar
  • Publication number: 20130005147
    Abstract: A method including forming an organic polymer layer (OPL) on a substrate; forming a patterned photoresist layer having a first opening and a second opening over the OPL, the second opening wider than the first opening; performing a first reactive ion etch (RIE) to form a first trench and a second trench in the organic layer, the second trench wider than the first trench, the first trench extending into but not through the organic polymer layer, the second trench extending through the OPL to the substrate, the first RIE forming a first polymer layer on sidewalls of the first trench and a second polymer layer on sidewalls of the second trench, the second polymer layer thicker than the first polymer layer; and performing a second RIE to extend the first trench through the OPL to the substrate, the second RIE removing the second polymer layer from sidewalls of the second trench.
    Type: Application
    Filed: June 28, 2011
    Publication date: January 3, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Matthew S. Angyal, Oluwafemi O. Ogunsola, Hakeem B. Akinmade-Yusuff
  • Publication number: 20130001752
    Abstract: The present invention related to a method for manufacturing a semiconductor, comprising steps of: providing a growing substrate; forming on the growing substrate to have plural grooves; forming a semiconductor element layer on the growing substrate; and changing the temperature of the growing substrate and the semiconductor element layer so as to separate the semiconductor element layer from the growing substrate.
    Type: Application
    Filed: March 8, 2012
    Publication date: January 3, 2013
    Applicant: NATIONAL CHIAO TUNG UNIVERSITY
    Inventors: YewChung Sermon Wu, Yu-Chung Chen
  • Patent number: 8343788
    Abstract: A method of fabricating a light emitting device comprising: providing a substrate; forming an epitaxial stack on the substrate wherein the epitaxial stack comprising a first conductivity semiconductor layer, an active layer and a second conductivity semiconductor layer; forming a mesa on the epitaxial stack to expose partial of the first conductivity semiconductor layer; and etching the surface of the first conductivity semiconductor layer and forming a least one rough structure on the surface of the first conductivity semiconductor layer wherein the first conductivity semiconductor layer is sandwiched by the substrate and the active layer.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: January 1, 2013
    Assignee: Epistar Corporation
    Inventors: De-Shan Kuo, Ting-Chia Ko, Chun-Hsiang Tu
  • Patent number: 8343852
    Abstract: A method for obtaining individual dies from a semiconductor structure is disclosed. The semiconductor structure includes a device layer, and the device layer in turn includes active regions separated by predefined spacings. Thick metal is selectively formed on backside of the device layer such that thick metal is formed on backside of active regions but not on backside of the predefined spacings. The semiconductor structure is then cut along the predefined spacings to separate the active regions with thick metal on their backside into individual dies.
    Type: Grant
    Filed: April 27, 2011
    Date of Patent: January 1, 2013
    Assignee: Fairchild Semiconductor Corporation
    Inventors: Minhua Li, Qi Wang, Gordon Sim, Matthew Reynolds, Suku Kim, James J. Murphy, Hamza Yilmaz
  • Publication number: 20120329242
    Abstract: A method suitable to reprocess a semiconductor substrate is provided. A semiconductor substrate in which a projection including a damaged semiconductor region and an insulating layer is provided in a peripheral portion of the semiconductor substrate is subjected to etching treatment for removing the insulating layer and to etching treatment for removing the damaged semiconductor region selectively with a non-damaged semiconductor region left using a mixed solution including nitric acid, a substance dissolving a semiconductor material included in the semiconductor substrate and oxidized by the nitric acid, a substance controlling a speed of oxidation of the semiconductor material and a speed of dissolution of the oxidized semiconductor material, and nitrous acid, in which the concentration of the nitrous acid is higher than or equal to 10 mg/l and lower than or equal to 1000 mg/l. Through these steps, the semiconductor substrate is reprocessed.
    Type: Application
    Filed: June 14, 2012
    Publication date: December 27, 2012
    Applicant: SEMICONDUCTOR ENERGY LABORATORY CO., LTD.
    Inventors: Kazuya HANAOKA, Shunsuke KIMURA
  • Publication number: 20120329240
    Abstract: An improvement is provided in a manufacturing yield of a semiconductor device including transistors in which gate insulating films have different thicknesses. After a high-breakdown-voltage insulating film is formed over a silicon substrate, a surface of the high-breakdown-voltage insulating film is abraded for a reduction in the thickness thereof so that a middle-breakdown-voltage insulating film is formed to be adjacent to the high-breakdown-voltage insulating film. The high-breakdown-voltage insulating film is formed by a thermal oxidation method so as to extend from an inside of the main surface of the silicon substrate to an outside thereof. The middle-breakdown-voltage insulating film is formed so as to be thinner than the high-breakdown-voltage insulating film.
    Type: Application
    Filed: September 10, 2012
    Publication date: December 27, 2012
    Inventors: Yasuhiro FUJII, Kazumasa YONEKURA, Tatsunori KANEOKA
  • Publication number: 20120329238
    Abstract: A vertical transient voltage suppressing (TVS) device includes a semiconductor substrate of a first conductivity type where the substrate is heavily doped, an epitaxial layer of the first conductivity type formed on the substrate where the epitaxial layer has a first thickness, and a base region of a second conductivity type formed in the epitaxial layer where the base region is positioned in a middle region of the epitaxial layer. The base region and the epitaxial layer provide a substantially symmetrical vertical doping profile on both sides of the base region. In one embodiment, the base region is formed by high energy implantation. In another embodiment, the base region is formed as a buried layer. The doping concentrations of the epitaxial layer and the base region are selected to configure the TVS device as a punchthrough diode based TVS or an avalanche mode TVS.
    Type: Application
    Filed: September 6, 2012
    Publication date: December 27, 2012
    Applicant: ALPHA & OMEGA SEMICONDUCTOR, INC.
    Inventors: Lingpeng Guan, Madhur Bobde, Anup Bhalla
  • Publication number: 20120322268
    Abstract: A method of forming a pattern includes forming a mask pattern on a substrate; etching the substrate by deep reactive ion etching (DRIE) and by using the mask pattern as an etch mask; partially removing the mask pattern to expose a portion of an upper surface of the substrate; and etching the exposed portion of the upper surface of the substrate. In the method, when a pattern is formed by DRIE, an upper portion of the pattern does not protrude or scarcely protrudes, and scallops of a sidewall of the pattern are smooth, and thus a conformal material layer may be easily formed on a surface of the pattern.
    Type: Application
    Filed: June 11, 2012
    Publication date: December 20, 2012
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Dong-kwon Kim, Ki-il Kim, Ah-young Cheon, Myeong-cheol Kim, Yong-jin Kim
  • Publication number: 20120319231
    Abstract: Methods for rounding the bottom corners of a shallow trench isolation structure are described herein. Embodiments of the present invention provide a method comprising forming a first masking layer on a sidewall of an opening in a substrate, removing, to a first depth, a first portion of the substrate at a bottom surface of the opening having the first masking layer therein, forming a second masking layer on the first masking layer in the opening, and removing, to a second depth, a second portion of the substrate at the bottom surface of the opening having the first and second masking layers therein. Other embodiments also are described.
    Type: Application
    Filed: August 13, 2012
    Publication date: December 20, 2012
    Inventors: Albert Wu, Runzi Chang
  • Patent number: 8334218
    Abstract: In one aspect, non-conformal layers are formed by variations of plasma enhanced atomic layer deposition, where one or more of pulse duration, separation, RF power on-time, reactant concentration, pressure and electrode spacing are varied from true self-saturating reactions to operate in a depletion-effect mode. Deposition thus takes place close to the substrate surface but is controlled to terminate after reaching a specified distance into openings (e.g., deep DRAM trenches, pores, etc.). Reactor configurations that are suited to such modulation include showerhead, in situ plasma reactors, particularly with adjustable electrode spacing.
    Type: Grant
    Filed: October 2, 2009
    Date of Patent: December 18, 2012
    Assignee: ASM America, Inc.
    Inventors: Sebastian E. Van Nooten, Jan Willem Maes, Steven Marcus, Glen Wilk, Petri Räisänen, Kai-Erik Elers
  • Publication number: 20120315733
    Abstract: A hard mask layer with a limited thickness is formed over a gate electrode layer. A treatment is provided on the hard mask layer to transform the hard mask layer to be more resistant to wet etching solution. A patterning is provided on the treated hard mask layer and the gate electrode to from a gate structure.
    Type: Application
    Filed: June 9, 2011
    Publication date: December 13, 2012
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yu-Lien HUANG, Ziwei FANG, Tsan-Chun WANG, Chii-Ming WU, Chun Hsiung TSAI
  • Patent number: 8330263
    Abstract: Various embodiments of the present invention include a semiconductor device and a fabrication method therefor, the semiconductor device including a first semiconductor chip disposed on a substrate, a first sealing resin sealing the first semiconductor chip, a built-in semiconductor device disposed on the first sealing resin, and a second sealing resin sealing the first sealing resin and the built-in semiconductor device and covering a side surface of the substrate. According to an aspect of the present invention, it is possible to provide a high-quality semiconductor device and a fabrication method therefor, in which downsizing and cost reduction can be realized.
    Type: Grant
    Filed: December 10, 2010
    Date of Patent: December 11, 2012
    Assignee: Spansion LLC
    Inventors: Masanori Onodera, Kouichi Meguro, Junji Tanaka
  • Patent number: 8329584
    Abstract: A post-CMP cleaning process of a copper layer is to be performed as follows. An alkaline aqueous solution, a polycarboxylic acid, BTA, and an alkaline aqueous solution are sequentially brought into contact with a primary surface of a silicon substrate over which the copper layer is provided.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: December 11, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Toshiyuki Takewaki, Manabu Iguchi, Daisuke Oshida, Hironori Toyoshima, Masayuki Hiroi, Takuji Onuma, Hiroaki Nanba, Ichiro Honma, Mieko Hasegawa, Yasuaki Tsuchiya, Toshiji Taiji, Takaharu Kunugi
  • Publication number: 20120306076
    Abstract: A micro-connector fabricated from a semiconductor material is disclosed. The micro-connector has one or more low resistance regions having a predetermined low resistance through its thickness. Opposing surfaces of the semiconductor layer have one or more complementary and opposing receiving volumes and one or more complementary mating elements defined on each of the respective surfaces within the low resistance regions for the receiving of a solder ball bond from, for instance a stackable microelectronic layer or component. The solder ball bonds of a separately provided electronic element can be inserted through the mating elements and into the volume and mechanically affixed and electrically coupled to the micro-connector on each of the surfaces for the electronic coupling of a first electronic element to a second electronic element.
    Type: Application
    Filed: May 24, 2012
    Publication date: December 6, 2012
    Applicant: ISC8 Inc.
    Inventor: Ying Hsu
  • Publication number: 20120309200
    Abstract: A method for fabricating a bottom oxide layer in a trench (102) is disclosed. The method comprises forming the trench (102) in a semiconductor substrate (100), depositing an oxide layer to partially fill a field area (104) and the trench (102), wherein said oxide layer has oxide overhang portions (106) and removing the oxide overhang portions (106) of the deposited oxide layer. Thereafter, the method comprises forming a bottom anti-reflective coating (BARC) layer (108) to cover the oxide layer in the field area (104) and the trench (102), removing the BARC layer (110) from the field area (104), while retaining a predetermined thickness of the BARC layer (112) in the trench (102), removing the oxide layer from the field area (104) and removing the BARC layer and oxide layer in the trench (102) to obtain a predetermined thickness of the bottom oxide layer (114).
    Type: Application
    Filed: May 22, 2012
    Publication date: December 6, 2012
    Inventors: Charlie Tay, Venkatesh Madhaven, Arjun K. Kantimahanti