To Change Their Surface-physical Characteristics Or Shape, E.g., Etching, Polishing, Cutting (epo) Patents (Class 257/E21.214)

  • Patent number: 8471366
    Abstract: A nitride semiconductor device includes a main surface and an indicator portion. The main surface is a plane inclined by at least 71° and at most 79° in a [1-100] direction from a (0001) plane or a plane inclined by at least 71° and at most 79° in a [?1100] direction from a (000-1) plane. The indicator portion indicates a (?1017) plane, a (10-1-7) plane, or a plane inclined by at least ?4° and at most 4° in the [1-100] direction from these planes and inclined by at least ?0.5° and at most 0.5° in a direction orthogonal to the [1-100] direction.
    Type: Grant
    Filed: November 30, 2011
    Date of Patent: June 25, 2013
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Hidenori Mikami, Naoki Matsumoto, Hideki Osada, Yusuke Yoshizumi, Sayuri Yamaguchi
  • Publication number: 20130157463
    Abstract: The present invention relates to a near-infrared (NIR) film composition for use in vertical alignment and correction in the patterning of integrated semiconductor wafers and a pattern forming method using the composition. The NIR absorbing film composition includes a NIR absorbing dye having a polymethine cation and a crosslinkable anion, a crosslinkable polymer and a crosslinking agent. The patterning forming method includes aligning and focusing a focal plane position of a photoresist layer by sensing near-infrared emissions reflected from a substrate containing the photoresist layer and a NIR absorbing layer formed from the NIR absorbing film composition under the photoresist layer. The NIR absorbing film composition and the pattern forming method are especially useful for forming material patterns on a semiconductor substrate having complex buried topography.
    Type: Application
    Filed: December 14, 2011
    Publication date: June 20, 2013
    Applicants: Shin-Etsu Chemical Co., Ltd., INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Dario L. Goldfarb, Martin Glodde, Wu-Song Huang, Takeshi Kinsho, Wai-Kin Li, Kazumi Noda, Masaki Ohashi, Seiichiro Tachibana
  • Publication number: 20130157437
    Abstract: According to one embodiment, firstly, an inversion pattern having a periodic pattern in which a first line pattern and a space are inversed and a non-periodic pattern arranged at an interval which is substantially equal to the width of the first line pattern from the end of the periodic pattern is formed above a processing object so as to correspond to the plurality of spaces between a plurality of first line patterns in a first pattern and the space between the first pattern and a second pattern. Next, a sidewall film is formed around the inversion pattern, and the periodic pattern is removed selectively. Thereafter, the processing object is etched using the sidewall pattern formed of the sidewall film and the non-periodic pattern surrounded by the sidewall film as masks.
    Type: Application
    Filed: August 31, 2012
    Publication date: June 20, 2013
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yoshihiro YANAI, Koichi MATSUNO, Seiro MIYOSHI
  • Publication number: 20130157462
    Abstract: The present disclosure provides a method including providing a semiconductor substrate and forming a first layer and a second layer on the semiconductor substrate. The first layer is patterned to provide a first element, a second element, and a space interposing the first and second elements. Spacer elements are then formed on the sidewalls on the first and second elements of the first layer. Subsequently, the second layer is etched using the spacer elements and the first and second elements as a masking element.
    Type: Application
    Filed: December 16, 2011
    Publication date: June 20, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd., ("TSMC")
    Inventors: Chia Ying Lee, Chih-Yuan Ting, Jyu-Horng Shieh, Minghsing Tsai, Syun-Ming Jang
  • Patent number: 8461036
    Abstract: Multiple surface finishes are applied to a substrate for a microelectronics package by applying a first surface finish to connection pads of a first area of the substrate, masking the first area of the substrate without masking a second area of the substrate, applying a second different surface finish to connection pads of the second area of the substrate, and removing the mask.
    Type: Grant
    Filed: December 22, 2009
    Date of Patent: June 11, 2013
    Assignee: Intel Corporation
    Inventors: Tao Wu, Charavanakumara Gurumurthy, Reynaldo Alberto Olmedo
  • Publication number: 20130143391
    Abstract: Methods are disclosed of forming and removing a reacted layer on a surface of a recess to provide mechanisms for improving thickness uniformity of a semiconductor material formed in the recess. The improved thickness uniformity in turn improves the uniformity of device performance.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 6, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Cheng-Te LIN, Chih-Lin WANG, Yi-Huang WU, Tzong-Sheng CHANG
  • Patent number: 8455363
    Abstract: A method for adjusting the trench depth of a substrate has the steps as follows. Forming a patterned covering layer on the substrate, wherein the patterned covering layer defines a wider spacing and a narrower spacing. Forming a wider buffering layer arranged in the wider spacing and a narrower buffering layer arranged in the narrower spacing. The thickness of the narrower buffering layer is thinner than the wider buffering layer. Implementing dry etching process to make the substrate corresponding to the wider and the narrower buffering layers form a plurality of trenches. When etching the wider and the narrower buffering layers, the narrower buffering layer is removed firstly, so that the substrate corresponding to the narrower buffering layer will be etched early than the substrate corresponding to the wider buffering layer.
    Type: Grant
    Filed: October 27, 2011
    Date of Patent: June 4, 2013
    Assignee: Inotera Memories, Inc.
    Inventors: Tzung-Han Lee, Chung-Lin Huang
  • Publication number: 20130134531
    Abstract: A fully embedded micromechanical device and a system on chip is manufactured on an SOI-substrate. The micromechanical device comprises a moveable component having a laterally extending upper and lower surface and vertical side surfaces. The upper surface is adjacent to an upper gap which laterally extends over at least a part of the upper surface and results from the removal of a shallow trench insulation material. The lower surface is adjacent to a lower gap which laterally extends over at least a part of the lower surface and results from the removal of the buried silicon oxide layer. The side surfaces of the movable component are adjacent to side gaps which surround at least a part of the vertical side surfaces of the moveable component and result from the removal of a deep trench insulation material.
    Type: Application
    Filed: November 28, 2011
    Publication date: May 30, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Alfred HAEUSLER
  • Publication number: 20130134518
    Abstract: A semiconductor structure includes a semiconductor-on-insulator substrate, the semiconductor-on-insulator substrate comprising a handle wafer, a buried oxide (BOX) layer on top of the handle wafer, and a top silicon layer on top of the BOX layer; and an implantation region located in the top silicon layer, the implantation region comprising a noble gas.
    Type: Application
    Filed: November 28, 2011
    Publication date: May 30, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Alan B. Botula, William F. Clark, JR., Richard A. Phelps, BethAnn Rainey, Yun Shi, James A. Slinkman
  • Publication number: 20130137267
    Abstract: Provided are methods of etching a substrate using atomic layer deposition apparatus. Atomic layer deposition apparatus including a gas distribution plate with a thermal element are discussed. The thermal element is capable of locally changing the temperature of a portion of the surface of the substrate to vaporize an etch layer deposited on the substrate.
    Type: Application
    Filed: November 30, 2011
    Publication date: May 30, 2013
    Applicant: Applied Materials, Inc.
    Inventors: Mei Chang, Joseph Yudovsky
  • Patent number: 8450183
    Abstract: A method of manufacturing a power semiconductor device according to the present invention includes the steps of: (a) forming a silicon nitride film on a semiconductor substrate; (b) after the step (a), forming a ring-shaped trench along a peripheral portion of the semiconductor substrate 6; (c) forming a first silicon oxide film on an inner surface of the trench; (d) after the step (c), forming a second silicon oxide film on an entire surface of the semiconductor substrate to bury the trench; (e) planarizing the second silicon oxide film by using the silicon nitride film as a stopper; and (f) forming a third silicon oxide film in a region in which the silicon nitride film is removed.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: May 28, 2013
    Assignee: Mitsubishi Electric Corporation
    Inventors: Ryoichi Fujii, Shigeto Honda, Atsushi Narazaki, Kaoru Motonami
  • Patent number: 8450133
    Abstract: Improved silicon solar cells, silicon image sensors and like photosensitive devices are made to include strained silicon at or sufficiently near the junctions or other active regions of the devices to provide increased sensitivity to longer wavelength light. Strained silicon has a lower band gap than conventional silicon. One method of making a solar cell that contains tensile strained silicon etches a set of parallel trenches into a silicon wafer and induces tensile strain in the silicon fins between the trenches. The method may induce tensile strain in the silicon fins by filling the trenches with compressively strained silicon nitride or silicon oxide. A deposited layer of compressively strained silicon nitride adheres to the walls of the trenches and generates biaxial tensile strain in the plane of adjacent silicon fins.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: May 28, 2013
    Assignee: Acorn Technologies, Inc.
    Inventor: Paul A. Clifton
  • Patent number: 8450184
    Abstract: Manufacturing a thin film direct bandgap semiconductor active solar cell device comprises providing a source substrate having a surface and disposing on the surface a stress layer having a stress layer surface area in contact with and bonded to the surface of the source substrate. Operatively associating a handle foil with the stress layer and applying force to the handle foil separates the stress layer from the source substrate, and leaves a portion of the source substrate on the stress layer surface substantially corresponding to the area in contact with the surface of the source substrate. The portion is less thick than the source layer. The stress layer thickness is below that which results in spontaneous spalling of the source substrate. The source substrate may comprise an inorganic single crystal or polycrystalline material such as Si, Ge, GaAs, SiC, sapphire, or GaN. The stress layer may comprise a flexible material.
    Type: Grant
    Filed: May 24, 2012
    Date of Patent: May 28, 2013
    Assignee: International Business Machines Corporation
    Inventors: Stephen W. Bedell, Keith E. Fogel, Paul A. Lauro, Devendra Sadana
  • Publication number: 20130126959
    Abstract: According to one embodiment, there are provided a first shaped pattern in which a plurality of first holes are arranged and of which a width is periodically changed along an arrangement direction of the first holes, a second shaped pattern in which a plurality of second holes are arranged and of which a width is periodically changed along an arrangement direction of the second holes, and slits which are formed along the arrangement direction of the first holes and separate the first shaped pattern and the second shaped pattern.
    Type: Application
    Filed: September 13, 2012
    Publication date: May 23, 2013
    Inventors: Ryota Aburada, Takashi Obara, Toshiya Kotani
  • Publication number: 20130126884
    Abstract: A semiconductor structure includes a III-nitride substrate with a first side and a second side opposing the first side. The III-nitride substrate is characterized by a first conductivity type and a first dopant concentration. The semiconductor structure also includes a III-nitride epitaxial layer of the first conductivity type coupled to the first surface of the III-nitride substrate, and a first metallic structure electrically coupled to the second surface of the III-nitride substrate. The semiconductor structure further includes an AlGaN epitaxial layer coupled to the III-nitride epitaxial layer of the first conductivity type, and a III-nitride epitaxial structure of a second conductivity type coupled to the AlGaN epitaxial layer. The III-nitride epitaxial structure comprises at least one edge termination structure.
    Type: Application
    Filed: November 17, 2011
    Publication date: May 23, 2013
    Applicant: EPOWERSOFT, INC.
    Inventors: Linda Romano, Andrew P. Edwards, Richard J. Brown, David P. Bour, Hui Nie, Isik C. Kizilyalli, Thomas R. Prunty, Mahdan Raj
  • Patent number: 8445993
    Abstract: A semiconductor wafer is disclosed. One embodiment provides at least two semiconductor components each having an active region, and wherein at least one zone composed of porous material is arranged between the active regions of the semiconductor components.
    Type: Grant
    Filed: December 21, 2011
    Date of Patent: May 21, 2013
    Assignee: Infineon Technologies Austria AG
    Inventors: Anton Mauder, Hans-Joachim Schulze, Hans-Joerg Timme
  • Patent number: 8445977
    Abstract: Vibration beams are provided on a substrate in parallel with the substrate and in parallel with each other, and provided in vacuum chambers formed by a shell and the substrate. Each of vibration beams has a sectional shape with a longer sectional thickness in a direction perpendicular to a surface of the substrate than a sectional thickness in a direction parallel to the surface of the substrate. A first electrode plate is provided in parallel with the surface of the substrate and connected to one end of each of the vibration beams. A second electrode plate is provided in parallel with the surface of the substrate and between the vibration beams. Third and fourth electrode plates are provided on opposite sides of the vibration beams. Asperities are provided in opposed side wall portion surfaces of the vibration beams and the second, third and fourth electrode plates.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: May 21, 2013
    Assignee: Yokogawa Electric Corporation
    Inventor: Takashi Yoshida
  • Publication number: 20130122709
    Abstract: A method includes making a target feature of an integrated circuit by providing a main layer over a substrate, depositing a first mask layer over the main layer, patterning the first mask layer, forming sidewall spacers with a width (w) in adjoining sidewalls of the patterned first mask layer and exposing a top area of the patterned first mask layer, selectively removing the first mask layer and exposing a portion of the main layer between the sidewall spacers, depositing a second mask layer over the main layer between the sidewall spacers, selectively removing the sidewall spacers to form an opening and exposing another portion of the main layer in the opening, etching the main layer through the opening to form the target feature.
    Type: Application
    Filed: November 11, 2011
    Publication date: May 16, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventor: Jhon Jhy Liaw
  • Publication number: 20130122707
    Abstract: Methods of polymer deposition for forming reduced critical dimensions are described. In one embodiment, a substrate is provided into a chamber, the substrate having a patterned layer disposed on an underlying layer formed thereon. The patterned layer includes a plurality of openings, each opening having a sidewall, a bottom, and a critical dimension. A gas mixture is provided into the chamber, the gas mixture having an etching gas and a polymer control gas. The polymer control gas includes a polymerizing fluorocarbon CxFy gas and a C—H bond containing gas. A plasma is formed with the gas mixture and a conformal polymer layer is deposited in the presence of the plasma on the patterned layer to form a reduced critical dimension in each opening. The reduced critical dimension is smaller than the corresponding critical dimension of the opening.
    Type: Application
    Filed: October 19, 2012
    Publication date: May 16, 2013
    Inventors: Daisuke Shimizu, Jong Mun Kim
  • Patent number: 8440494
    Abstract: Alternative additives that can be used in place of isopropyl alcohol in aqueous alkaline etchant solutions for texturing a surface of a single-crystalline silicon substrate are provided. The alternative additives do not have volatile constituents, yet can be used in an aqueous alkaline etchant solution to provide a pyramidal shaped texture surface to the single-crystalline silicon substrate that is exposed to such an etchant solution. Also provided is a method of forming a textured silicon surface. The method includes immersing a single-crystalline silicon substrate into an etchant solution to form a pyramid shaped textured surface on the single-crystalline silicon substrate. The etchant solution includes an alkaline component, silicon (etched into the solution as a bath conditioner) and glycerol or ethylene glycol as an additive. The textured surface of the single-crystalline silicon substrate has (111) faces that are now exposed.
    Type: Grant
    Filed: May 20, 2011
    Date of Patent: May 14, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kathryn C. Fisher, Jun Liu, Satyavolu S. Papa Rao, George G. Totir, James Vichiconti
  • Patent number: 8441027
    Abstract: Disclosed are a light emitting device and a light emitting device package. The light emitting device includes a substrate including a plurality of patterns, each pattern including three protrusion parts, a plurality of spaces formed between the patterns, and a light emitting device structure over the patterns and the spaces. Each space includes a medium having a refractive index different from a refractive index of the light emitting device structure.
    Type: Grant
    Filed: November 12, 2010
    Date of Patent: May 14, 2013
    Assignee: LG Innotek Co., Ltd.
    Inventor: Chang Bae Lee
  • Patent number: 8440545
    Abstract: A method of manufacturing a semiconductor device includes spraying fluid onto a surface of a treatment target substrate including a semiconductor substrate; forming a protection layer on the surface of the treatment target substrate after spraying the fluid; selectively removing the protection layer and a part of the treatment target substrate by an energy beam; and conducting removal processing on an area of the treatment target substrate from which the protection layer and the part of the treatment target substrate are selectively removed.
    Type: Grant
    Filed: November 18, 2008
    Date of Patent: May 14, 2013
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Hironori Fukaya, Yuzo Shimobeppu, Kazuhiro Yoshimoto, Yoshiaki Shinjo, Kazuo Teshirogi, Mika Sakamoto
  • Publication number: 20130115770
    Abstract: An etching composition for a copper-containing layer includes about 0.1% to about 30% by weight of ammonium persulfate, about 0.1% to about 10% by weight of a sulfate, about 0.01% to about 5% by weight of an acetate and about 55% to about 99.79% by weight of water.
    Type: Application
    Filed: August 31, 2012
    Publication date: May 9, 2013
    Inventors: Hong-Sick Park, Bong-Kyun Kim, Wang-Woo Lee, Ki-Beom Lee, Sam-Young Cho, Won-Guk Seo, Gyu-Po Kim
  • Publication number: 20130115777
    Abstract: A manufacturing method for semiconductor structures includes providing a substrate having a first region and a second region defined thereon, forming a plurality of first patterns in the first region and at least a second pattern in the second region, forming a plurality of first spacers respectively on sidewalls of the first patterns and at least a second spacer on a sidewall of the second pattern, forming a patterned protecting layer in the second region, removing the first patterns from the first region to form a plurality of first masking patterns in the first region and at least a second masking pattern in the second region, and transferring the first masking patterns and the second masking pattern to the substrate.
    Type: Application
    Filed: November 9, 2011
    Publication date: May 9, 2013
    Inventors: Yu-Cheng Tung, Chun-Hsien Lin
  • Publication number: 20130113103
    Abstract: An integrated circuit (IC) includes a substrate having a topside semiconductor surface including active circuitry configured to provide functionality and a bottomside surface. A plurality of through substrate vias (TSVs) extend from the topside semiconductor surface to beyond the bottomside surface to provide protruding TSV tips. The TSVs include an outer dielectric liner, a metal comprising diffusion barrier layer on the dielectric liner, and a metal filler on the metal comprising barrier layer. A dielectric metal gettering layer (MGL) is on the bottomside surface lateral to and on sidewalls of the protruding TSV tips. The MGL includes at least one metal gettering agent selected from a halogen or a Group 15 element in an average concentration from 0.1 to 10 atomic %.
    Type: Application
    Filed: June 5, 2012
    Publication date: May 9, 2013
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: JEFFREY A. WEST, RAJESH TIWARI
  • Patent number: 8437585
    Abstract: A passive optical waveguide is solely built on a Si substrate while still maintaining high optical quality. Two side-by-side diamond shaped cavities may be etched into the Si wafer and oxide grown on the inner walls of the cavities until the oxide meets at opposing inner vertices of the diamond shaped cavities. An optical waveguide is formed by the inverted, generally triangular cross-sectional, portion of silicon remaining between the top surface of the wafer and the opposing inner vertices.
    Type: Grant
    Filed: December 7, 2010
    Date of Patent: May 7, 2013
    Assignee: Intel Corporation
    Inventor: Yun-Chung N. Na
  • Patent number: 8435353
    Abstract: According to one embodiment, the thin film forming apparatus includes a boat capable of holding two wafers, in each of which a cutout portion is provided in an outer peripheral edge portion, in a groove portion for holding a wafer in a state where back surfaces face each other. Moreover, the thin film forming apparatus includes a reactor that accommodates the boat and form a coating on each of surfaces of the two wafers by a vapor deposition reaction. The positions in the groove portion, at which the two wafers are held, respectively, are displaced in a direction parallel to the surfaces of the wafers.
    Type: Grant
    Filed: June 10, 2011
    Date of Patent: May 7, 2013
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Nobuyoshi Sato
  • Patent number: 8435868
    Abstract: With a general wafer level package process, in order to prevent corrosion of an aluminum type pad electrode in a scribe region in a plating process, the pad electrode is covered with a pad protective resin film at the same layer as an organic type protective film in a product region. However, this makes it impossible to perform the probe test on the pad electrode in the scribe region after rewiring formation. The present invention provides a method for manufacturing a semiconductor integrated circuit device of a wafer level package system. The organic type protective films in the chip regions and the scribe region are mutually combined to form an integral film pattern. In a pelletization step, the surface layer portion including the organic type protective film at the central part of the scribe region is first removed by laser grooving, to form a large-width groove. Then, a dicing processing of the central part in this groove results in separation into the chip regions.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: May 7, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Hisao Shigihara, Hiromi Shigihara, Akira Yajima
  • Publication number: 20130109174
    Abstract: Disclosed herein are various methods of forming conductive structures, such as conductive lines and via, on an integrated circuit device using a spacer erosion technique. In one example, the method includes forming a patterned hard mask layer above a layer of insulating material, the patterned hard mask having a hard mask opening, forming an erodible spacer in the hard mask opening to thereby define a spacer opening and performing at least one etching process through the spacer opening on the layer of insulating material to define a trench therein for a conductive structure, wherein the erodible spacer is substantially eroded away during the at least one etching process.
    Type: Application
    Filed: October 26, 2011
    Publication date: May 2, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventor: Gunter Grasshoff
  • Publication number: 20130109186
    Abstract: The present invention provides a method of forming semiconductor devices using SMT. The method comprises providing a substrate; depositing an SiO2 buffer film and a low tensile stress SiN film on the substrate; applying photoresist over the low tensile stress SiN film and exposing the low tensile stress SiN film on the NMOS region through photoresist exposure; applying UV radiation to the exposed low tensile stress SiN film; removing some hydrogen in the low tensile stress SiN film on the NMOS region and removing photoresist over the PMOS region; performing a rapid thermal annealing process to induce tensile stress in the NMOS channel region; and removing the SiN film and the SiO2 buffer film. According to the method of forming semiconductor devices using SMT of the present invention, the conventional SMT is greatly simplified.
    Type: Application
    Filed: October 26, 2012
    Publication date: May 2, 2013
    Inventors: Wenguang ZHANG, Qiang XU, Chunsheng Zheng, Lingzhi Xu, Yuwen Chen
  • Patent number: 8431995
    Abstract: A method for fabricating recessed drain regions of aggressively scaled CMOS devices. In this method a processing sequence of plasma etch, deposition, followed by plasma etch is used to controllably form recessed regions of the drain in the channel of a thin body, much less than 40 nm, device to enable subsequent epitaxial growth of SiGe, SiC, or other materials, and a consequent increase in the device and ring oscillator performance. A Field Effect Transistor device is also provided, which includes: a buried oxide layer; a silicon layer above the buried oxide layer; an isotropically recessed drain region; and a gate stack which includes a gate dielectric, a conductive material, and a spacer.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: April 30, 2013
    Assignee: International Business Machines Corporation
    Inventors: Nicholas C Fuller, Steve Koester, Isaac Lauer, Ying Zhang
  • Publication number: 20130102155
    Abstract: An ICP A plasma reactor having an enclosure wherein at least part of the ceiling forms a dielectric window. A substrate support is positioned within the enclosure below the dielectric window. An RF power applicator is positioned above the dielectric window to radiate RF power through the dielectric window and into the enclosure. A plurality of gas injectors are distributed uniformly above the substrate support to supply processing gas into the enclosure. A circular baffle is situated inside the enclosure and positioned above the substrate support but below the plurality of gas injectors so as to redirect flow of the processing gas.
    Type: Application
    Filed: December 26, 2011
    Publication date: April 25, 2013
    Inventors: Shi GANG, Songlin Xu, TuQiang Ni
  • Publication number: 20130095606
    Abstract: A method is provided for fabricating a thin film transistor. A plurality of layers is deposited on a substrate. The plurality of layers includes a conductive gate contact layer, a gate insulator layer, an undoped channel layer, an etch-stop layer, and a conductive contact layer. The etch-stop layer is positioned between the conductive contact layer and the undoped channel layer. A portion of the conductive contact layer is selectively removed while removal of a portion of the undoped channel layer is prevented by the etch-stop layer during the selective removal. A portion of the etch-stop layer is selectively removed and an exposed portion of the etch-stop layer is converted from a conductor to an insulator by oxidizing the exposed portion of the etch-stop layer in air. A portion of remaining layers of the plurality of layers is selectively removed to form the thin film transistor.
    Type: Application
    Filed: October 12, 2011
    Publication date: April 18, 2013
    Applicant: Government of the United States, as represented by the Secretary of the Air Force
    Inventors: Burhan Bayraktaroglu, Kevin Leedy
  • Publication number: 20130093043
    Abstract: An array or moat isolation structure for eDRAM and methods of manufacture is provided. The method includes forming a deep trench for a memory array and an isolation region. The method includes forming a node dielectric on exposed surfaces of the deep trench for the memory array and the isolation region. The method includes filling remaining portions of the deep trench for the memory array with a metal, and lining the deep trench of the isolation region with the metal. The method includes filling remaining portions of the deep trench for the isolation region with a material, on the metal within the deep trench for the memory array. The method includes recessing the metal within the deep trench for the memory array and the isolation region. The metal in the deep trench of the memory array is recessed to a greater depth than the metal in the isolation region.
    Type: Application
    Filed: October 17, 2011
    Publication date: April 18, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Naoyoshi KUSABA, Oh-jung KWON, Zhengwen LI, Hongwen YAN
  • Publication number: 20130093062
    Abstract: A semiconductor structure includes a substrate, a recess and a material. The recess is located in the substrate, wherein the recess has an upper part and a lower part. The minimum width of the upper part is larger than the maximum width of the lower part. The material is located in the recess.
    Type: Application
    Filed: October 18, 2011
    Publication date: April 18, 2013
    Inventors: Ying-Chih Lin, Hsuan-Hsu Chen, Jiunn-Hsiung Liao, Lung-En Kuo
  • Publication number: 20130095599
    Abstract: An electronic device includes a substrate and a plurality of particles anchored to the substrate. An electrode material is formed over the particles and configured to form peaks over the particles. One or more operational layers are fog led over the electrode material for performing a device function.
    Type: Application
    Filed: October 18, 2011
    Publication date: April 18, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: AHMED ABOU-KANDIL, Keith E. Fogel, Augustin J. Hong, Jeehwan Kim, Hisham S. Mohamed, Devendra K. Sadana
  • Patent number: 8420540
    Abstract: A trench structure and an integrated circuit comprising sub-lithographic trench structures in a substrate. In one embodiment the trench structure is created by forming sets of trenches with a lithographic mask and filling the sets of trenches with sets of step spacer blocks comprising two alternating spacer materials which are separately removable from each other. In one embodiment, the trench structures formed are one-nth the thickness of the lithographic mask's feature size. The size of the trench structures being dependent on the thickness and number of spacer material layers used to form the set of step spacer blocks. The number of spacer material layers being n/2 and the thickness of each spacer material layer being one-nth of the lithographic mask's feature size.
    Type: Grant
    Filed: January 13, 2011
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Chung H. Lam, Hemantha K. Wickramasinghe
  • Patent number: 8421139
    Abstract: A transistor includes a first fin structure and at least a second fin structure formed on a substrate. A deep trench area is formed between the first and second fin structures. The deep trench area extends through an insulator layer of the substrate and a semiconductor layer of the substrate. A high-k metal gate is formed within the deep trench area. A polysilicon layer is formed within the deep trench area adjacent to the metal layer. The polysilicon layer and the high-k metal layer are recessed below a top surface of the insulator layer. A poly strap in the deep trench area is formed on top of the high-k metal gate and the polysilicon material. The poly strap is dimensioned to be below a top surface of the first and second fin structures. The first fin structure and the second fin structure are electrically coupled to the poly strap.
    Type: Grant
    Filed: April 7, 2010
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Sivananda Kanakasabapathy, Hemanth Jagannathan, Geng Wang
  • Publication number: 20130089981
    Abstract: The invention provides a method of manufacturing a semiconductor device, capable of forming, on a silicon layer, a nickel mono-silicide layer having a low resistance value and a desirable flatness. The method includes depositing a platinum-containing nickel layer that covers the silicon layer formed on the substrate, and that has crystallinity lower in a portion thereof close to the silicon layer than in a portion remote from the silicon layer, and forming a nickel mono-silicide layer at the interface between the silicon layer and the platinum-containing nickel layer by heating the substrate.
    Type: Application
    Filed: October 4, 2012
    Publication date: April 11, 2013
    Applicant: ELPIDA MEMORY, INC.
    Inventor: ELPIDA MEMORY, INC.
  • Patent number: 8415235
    Abstract: In a conventional adhesive sheet laminated with a die attachment film, the die attachment film sometimes drops off from the die chip at the time of pick-up after die chip formation by dicing a wafer. The present invention provides an adhesive including a (meth)acrylate ester polymer, a urethane acrylate oligomer having 4 or more vinyl groups, and silicone microparticles. Another aspect of the invention, provides a process for producing electronic components, the process including: a wafer-pasting step of pasting a wafer on a surface of a die attachment film of an adhesive sheet; a dicing step of dicing the wafer into die chips; and a pick-up step of peeling the die attachment film from the adhesive layer after the dicing step, and picking up the die chip together with the die attachment film.
    Type: Grant
    Filed: May 10, 2010
    Date of Patent: April 9, 2013
    Assignee: Denki Kagaku Kogyo Kabushiki Kaisha
    Inventors: Satoru Kawata, Takeshi Saito
  • Publication number: 20130084710
    Abstract: A substrate processing apparatus comprises a single-substrate processing apparatus for processing substrates one by one, and an anti-static liquid storage part for storing an anti-static liquid having electrical resistivity maintained at target electrical resistivity higher than the electrical resistivity of an SPM liquid. A plurality of substrates held in a cartridge are immersed in the anti-static liquid inside the anti-static liquid storage part and both main surfaces of the substrate entirely come into contact with the anti-static liquid. From the substrates, static electricity is relatively gently removed. Then, after the static elimination process are finished, a processing liquid supply part supplies the SPM liquid onto an upper surface of the substrate and an SPM process is thereby performed. It is thereby possible to prevent a large amount of electric charges from rapidly moving from the substrate to the SPM liquid and also possible to prevent any damage to the substrate.
    Type: Application
    Filed: September 27, 2012
    Publication date: April 4, 2013
    Inventor: Masahiro MIYAGI
  • Publication number: 20130082326
    Abstract: A superjunction LDMOS and its manufacturing method are disclosed. The superjunction LDMOS includes a diffused well in which a superjunction structure is formed; the superjunction structure has a depth less than the depth of the diffused well. The manufacturing method includes: provide a semiconductor substrate; form a diffused well in the semiconductor substrate by photolithography and high temperature diffusion; form an STI layer above the diffused well; form a superjunction structure in the diffused well by ion implantation, wherein the superjunction structure has a depth less than the depth of the diffused well; and form the other components of the superjunction LDMOS by subsequent conventional CMOS processes. The method is compatible with conventional CMOS processes and do not require high-cost and complicated special processes.
    Type: Application
    Filed: September 28, 2012
    Publication date: April 4, 2013
    Applicant: GRACE SEMICONDUCTOR MANUFACTURING CORPORATION
    Inventor: GRACE SEMICONDUCTOR MANUFACTURING C
  • Publication number: 20130078791
    Abstract: Semiconductor device fabrication methods having enhanced control in recessing processes are provided. In a method for fabricating a semiconductor device or plurality of them, a structure is formed. The method includes preparing a limited amount of the structure having a depth of less than ten atomic layers for removal. Further, the method includes performing a removal process to remove the limited amount of the structure. The method repeats preparation of successive limited amounts of the structure for removal, and performance of the removal process to form a recess at an upper portion of the structure.
    Type: Application
    Filed: September 28, 2011
    Publication date: March 28, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Ruilong Xie, Robert J. Miller
  • Publication number: 20130078817
    Abstract: According to an embodiment, a method of forming a film is provided. In the method of forming a film, a reversed pattern which is the reverse of a desired layout pattern is formed on a first substrate. Subsequently, a pattern material of the desired layout pattern is supplied to a second substrate as a reversal material. Thereafter, the reversed pattern is brought into contact with the reversal material such that the reversed pattern faces the reversal material, so that the reversed pattern is filled with the reversal material by a capillary phenomenon.
    Type: Application
    Filed: March 8, 2012
    Publication date: March 28, 2013
    Inventor: Tsukasa AZUMA
  • Publication number: 20130069109
    Abstract: According to an embodiment, a trench structure and a second semiconductor layer are provided in a semiconductor device. In the trench structure, a trench is provided in a surface of a device termination portion with a first semiconductor layer of a first conductive type including a device portion and the device termination portion, and an insulator is buried in the trench in such a manner to cover the trench. The second semiconductor layer, which is of a second conductive type, is provided on the surface of the first semiconductor layer, is in contact with at least a side on the device portion of the trench, and has a smaller depth than the trench. The insulator and a top passivation film for the semiconductor device are made of the same material.
    Type: Application
    Filed: March 13, 2012
    Publication date: March 21, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Shizue MATSUDA, Shingo SATO, Wataru SAITO
  • Publication number: 20130071618
    Abstract: The present invention provides a pattern layer and a manufacturing method thereof. The manufacturing method of the pattern layer includes: performing coating on the substrate and at the same time, controlling coating parameter to vary with time so as to form a thin film of which film quality varies with coated film thickness on the substrate; and performing etching on the thin film so as to have lateral etch rate of the thin film changing with the film quality to thereby form the pattern layer having a side surface of a predetermined curvature. The present invention also provides a thin film. With the above-discussed method, the lateral etch rate of the thin film can be controlled through change of film quality.
    Type: Application
    Filed: October 18, 2011
    Publication date: March 21, 2013
    Applicant: Shenzhen China Star Optoelectronics Technology Co. LTD.
    Inventor: Wen-da Cheng
  • Publication number: 20130065403
    Abstract: A wafer carrier used in wafer treatments such as chemical vapor deposition has pockets for holding the wafers and support surfaces for supporting the wafers above the floors of the pockets. The carrier is provided with thermal control features such as trenches which form thermal barriers having lower thermal conductivity than surrounding portions of the carrier. These thermal control features promote a more uniform temperature distribution across the wafer surfaces and across the carrier top surface.
    Type: Application
    Filed: November 9, 2012
    Publication date: March 14, 2013
    Inventors: Ajit Paranjpe, Boris Volf, Eric A. Armour, Sandeep Krishnan, Guanghua Wei, Lukas Urban
  • Publication number: 20130065380
    Abstract: A structure, such as an integrated circuit device, is described that includes a line of material with critical dimensions which vary within a distribution substantially less than that of a mask element, such as a patterned resist element, used in etching the line. Techniques are described for processing a line of crystalline phase material which has already been etched using the mask element, in a manner which straightens an etched sidewall surface of the line. The straightened sidewall surface does not carry the sidewall surface variations introduced by photolithographic processes, or other patterning processes, involved in forming the mask element and etching the line.
    Type: Application
    Filed: January 13, 2012
    Publication date: March 14, 2013
    Applicant: SYNOPSYS, INC.
    Inventors: Victor Moroz, Lars Bomholt
  • Publication number: 20130065399
    Abstract: A plasma processing method includes holding a wafer on a holding stage, generating plasma inside the processing chamber by a plasma generator to define a first processing region having an electron temperature higher than a predetermined value and a second processing region having an electron temperature lower than the predetermined value, moving the holding stage for the wafer to be positioned in the first processing region, performing the plasma processing of the wafer positioned in the first processing region, moving the holding stage for the wafer to be positioned in the second processing region, and stopping to generate plasma when the wafer is positioned in the second processing region after completion of the plasma processing.
    Type: Application
    Filed: November 5, 2012
    Publication date: March 14, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tokyo Electron Limited
  • Publication number: 20130059441
    Abstract: A method for fabricating a semiconductor structure is disclosed. The method includes the steps of: providing a substrate; depositing a material layer on the substrate; forming at least one dielectric layer on the material layer; forming a patterned resist on the dielectric layer; performing a first trimming process on at least the patterned resist; and performing a second trimming process on at least the dielectric layer, wherein the second trimming process comprises trimming greater than 70% of a total trimming value.
    Type: Application
    Filed: November 2, 2012
    Publication date: March 7, 2013
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventor: United Microelectronics Corp.