To Change Their Surface-physical Characteristics Or Shape, E.g., Etching, Polishing, Cutting (epo) Patents (Class 257/E21.214)

  • Patent number: 8703618
    Abstract: A micropattern is joined to a substrate (W1) by: a first group of covering step and micropattern forming step by etching in a transfer step; and a second group of covering step and micropattern forming step by etching in the transfer step.
    Type: Grant
    Filed: July 23, 2012
    Date of Patent: April 22, 2014
    Assignee: Toshiba Kikai Kabushiki Kaisha
    Inventors: Hiroshi Goto, Hiroshi Okuyama, Mitsunori Kokubo, Kentaro Ishibashi
  • Publication number: 20140097521
    Abstract: In accordance with an embodiment of the present invention, a method of forming a semiconductor device includes forming a first cavity within a substrate. The first cavity is disposed under a portion of the substrate. The method further includes forming a first pillar within the first cavity to support the portion of the substrate.
    Type: Application
    Filed: October 9, 2012
    Publication date: April 10, 2014
    Applicant: INFINEON TECHNOLOGIES DRESDEN GMBH
    Inventors: Thoralf Kautzsch, Alessia Scire, Steffen Bieselt
  • Patent number: 8691666
    Abstract: A method for producing a chip (13) in which a die bonding adhesive layer (24) and a wafer (1) are laminated on a close-contact layer (31) of a fixing jig (3), the chip is formed by completely cutting the wafer and the die bonding adhesive layer and then the chip is picked up together with the die bonding adhesive layer from the fixing jig by deforming the close-contact layer of the fixing jig. In the method the fixing jig is provided with the close-contact layer and a jig base (30) that is provided with a plurality of protrusions (36) on one side and a sidewall (35) at the outer circumference section of the one side. The close-contact layer is laminated on the surface of the jig base provided with the protrusions and is bonded on the upper surface of the sidewall. On the surface of the jig base provided with the protrusions, a partitioned space is formed by the close-contact layer, the protrusions, and the sidewall.
    Type: Grant
    Filed: April 15, 2008
    Date of Patent: April 8, 2014
    Assignee: Lintec Corporation
    Inventors: Takeshi Segawa, Naofumi Izumi
  • Patent number: 8685856
    Abstract: A fabrication method of an anti-reflection structure includes the steps of: forming a resin film having micro-particles dispersed therein on a surface of a substrate; forming a protrusion dummy pattern on the resin film by etching the resin film using the micro-particles in the resin film as a mask while gradually etching the micro-particles; and forming a protrusion pattern on the surface of the substrate by etching back the surface of the substrate together with the resin film having the protrusion dummy pattern formed thereon, and transferring a surface shape of the protrusion dummy pattern formed on a surface of the resin film to the surface of the substrate.
    Type: Grant
    Filed: March 22, 2010
    Date of Patent: April 1, 2014
    Assignee: Sony Corporation
    Inventors: Kensaku Maeda, Kaoru Koike, Tohru Sasaki, Tetsuya Tatsumi
  • Patent number: 8685862
    Abstract: A micropattern is joined to a substrate (W1) by: a first group of covering step and micropattern forming step by etching in a transfer step; and a second group of covering step and micropattern forming step by etching in the transfer step.
    Type: Grant
    Filed: July 23, 2012
    Date of Patent: April 1, 2014
    Assignee: Toshiba Kikai Kabushiki Kaisha
    Inventors: Hiroshi Goto, Hiroshi Okuyama, Mitsunori Kokubo, Kentaro Ishibashi
  • Publication number: 20140087559
    Abstract: A method for forming a semiconductor structure and a method for patterning a dielectric layer are provided. The method comprises following steps. An upper cap layer is formed on and physically contacted with a dielectric layer. The dielectric layer has a dielectric thickness having a range of 1000 ?˜5000 ?. A patterned mask layer is formed on and physically contacted with the upper cap layer. A part of the upper cap layer is removed to form a patterned upper cap layer by using the patterned mask layer as an etching mask. A part of the dielectric layer is removed to form a dielectric opening in the dielectric layer by using the patterned upper cap layer as an etching mask.
    Type: Application
    Filed: September 27, 2012
    Publication date: March 27, 2014
    Applicant: UNITED MICROELECTRONICS CORP.
    Inventors: Xu-Yang Shen, Seng-Wah Liau, Jian-Jun Zhang, Han-Chuan Fang
  • Patent number: 8679940
    Abstract: Methods for fabricating semiconductor devices are provided. In an embodiment, a method for fabricating a semiconductor device includes forming a planarization stop layer overlying a semiconductor substrate. A trench is etched through the planarization stop layer and into the semiconductor substrate and is filled with an isolation material. The isolation material is planarized to establish a top surface of the isolation material coplanar with the planarization stop layer. In the method, a dry deglaze process is performed to remove a portion of the planarization stop layer and a portion of the isolation material to lower the top surface of the isolation material to a desired stepheight above the semiconductor substrate.
    Type: Grant
    Filed: February 17, 2012
    Date of Patent: March 25, 2014
    Assignee: GLOBALFOUNDRIES, Inc.
    Inventors: Frank Jakubowski, Jörg Radecker, Frank Ludwig
  • Patent number: 8673780
    Abstract: A method of processing a semiconductor substrate in forming scribe line alignment marks includes forming pitch multiplied non-circuitry features within scribe line area of a semiconductor substrate. Individual of the features, in cross-section, have a maximum width which is less than a minimum photolithographic feature dimension used in lithographically patterning the substrate. Photoresist is deposited over the features. Such is patterned to form photoresist blocks that are individually received between a respective pair of the features in the cross-section. Individual of the features of the respective pairs have a laterally innermost sidewall in the cross-section. Individual of the photoresist blocks have an opposing pair of first pattern edges in the cross-section that are spaced laterally inward of the laterally innermost sidewalls of the respective pair of the features.
    Type: Grant
    Filed: August 2, 2011
    Date of Patent: March 18, 2014
    Assignee: Micron Technology, Inc.
    Inventors: William R. Brown, David A. Kewley, Adam Olson
  • Patent number: 8668833
    Abstract: A method of forming a discrete nanostructured element at one or more predetermined locations on a substrate is presented. The method includes forming a mask member over the substrate. A window is formed in the mask member at each of one or more locations at which it is required to form the nanostructured element thereby to expose a portion of a surface of the substrate. A portion of the substrate exposed by the window at the one or more locations is removed to form one or more recesses in the substrate. The method further includes forming a layer of a nanostructure medium over a surface of the recess and annealing the structure thereby to form the nanostructured element in each of the one or more recesses. The nanostructured element includes a portion of the nanostructure medium and has an external dimension along at least two substantially orthogonal directions of less than substantially 100 nm.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: March 11, 2014
    Assignees: GLOBALFOUNDRIES Singapore Pte. Ltd., National University of Singapore
    Inventors: Han Guan Chew, Fei Zheng, Wee Kiong Choi, Tze Haw Liew
  • Patent number: 8664089
    Abstract: In one embodiment, semiconductor die are singulated from a semiconductor wafer having a backmetal layer by placing the semiconductor wafer onto a carrier tape with the backmetal layer adjacent the carrier tape, forming singulation lines through the semiconductor wafer to expose the backmetal layer within the singulation lines, and fluid machining the semiconductor wafer to remove the backmetal layer from the singulation lines.
    Type: Grant
    Filed: August 20, 2012
    Date of Patent: March 4, 2014
    Assignee: Semiconductor Components Industries, LLC
    Inventors: William F. Burghout, Dennis Lee Conner, Michael J. Seddon, Jay A. Yoder
  • Patent number: 8664116
    Abstract: Ions of silicon are implanted into source/drain regions in a semiconductor wafer to amorphize an ion implantation region in the semiconductor wafer. A nickel film is deposited on the amorphized ion implantation region. First irradiation from a flash lamp is performed on the semiconductor wafer with the nickel film deposited thereon to increase the temperature of a front surface of the semiconductor wafer from a preheating temperature to a target temperature for a time period in the range of 1 to 20 milliseconds. Subsequently, second irradiation from the flash lamp is performed to maintain the temperature of the front surface of the semiconductor wafer within a ±25° C. range around the target temperature for a time period in the range of 1 to 100 milliseconds. This causes nickel silicide to grow preferentially in a direction perpendicular to the semiconductor wafer.
    Type: Grant
    Filed: September 7, 2012
    Date of Patent: March 4, 2014
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Kazuhiko Fuse, Shinichi Kato
  • Publication number: 20140057449
    Abstract: Provided is a coating method of an alignment film, including: providing a board, having a substrate, the substrate forming an alignment liquid coating area thereon; forming a barrier structure around the alignment liquid coating area; coating an alignment liquid in the alignment liquid coating area, wherein the barrier structure blocks the alignment liquid to diffuse outside the alignment liquid coating area; and curing the alignment liquid to form an alignment film. The present invention may assure that the formed alignment film can not affect other areas adjacent to the alignment liquid coating area.
    Type: Application
    Filed: August 31, 2012
    Publication date: February 27, 2014
    Applicant: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventor: MeiNa Zhu
  • Publication number: 20140051247
    Abstract: A method of forming a semiconductor device includes forming a mandrel on top of a substrate; forming a first spacer adjacent to the mandrel on top of the substrate; forming a cut mask over the first spacer and the mandrel, such that the first spacer is partially exposed by the cut mask; partially removing the partially exposed first spacer; and etching the substrate to form a fin structure corresponding to the partially removed first spacer in the substrate.
    Type: Application
    Filed: August 14, 2012
    Publication date: February 20, 2014
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo Cheng, Bruce B. Doris, Ali Khakifirooz, Chun-Chen Yeh
  • Patent number: 8652869
    Abstract: A method of roughening a substrate surface includes forming an opening in a protection film formed on a surface of a semiconductor substrate, performing a first etching process using an acid solution by utilizing the protection film as a mask so as to form a first concave under the opening and its vicinity area, performing an etching process by using the protection film as a mask so as to remove an oxide film formed on a surface of the first concave, performing anisotropic etching by using the protection film as a mask so as to form a second concave under the opening and its vicinity area, and removing the protection film.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: February 18, 2014
    Assignee: Mitsubishi Electric Corporation
    Inventors: Kunihiko Nishimura, Shigeru Matsuno, Daisuke Niinobe
  • Patent number: 8652360
    Abstract: The present invention aims to provide a method of use for surface-modifying a semiconductor nanocrystal comprising at least the steps consisting in having a semiconductor nanocrystal, the organic coating layer of which is provided, at the outer surface of the nanocrystal, with at least one reactive group G1 that reacts according to a cycloaddition reaction of click chemistry type; and bringing said nanocrystal together with an adjoining material provided at the surface with at least one G2 group complementary to the G1 group with respect to said click chemistry reaction, under conditions favorable to the interaction of said G1 and G2 groups, characterized in that said G1 and G2 groups are respectively an azide and a strained cycloalkynyl radical, or vice versa.
    Type: Grant
    Filed: September 8, 2009
    Date of Patent: February 18, 2014
    Assignee: Commissariat a l'Energie Atomique
    Inventors: Isabelle Texier-Nogues, Aude Bernardin
  • Patent number: 8647990
    Abstract: Methods of patterning low-k dielectric films are described.
    Type: Grant
    Filed: October 16, 2012
    Date of Patent: February 11, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Yifeng Zhou, Srinivas D. Nemani, Khoi Doan, Jeremiah T. Pender
  • Patent number: 8647901
    Abstract: There is provided a method of forming a nitride semiconductor layer, including the steps of firstly providing a substrate on which a patterned epitaxy layer with a pier structure is formed. A protective layer is then formed on the patterned epitaxy layer, exposing a top surface of the pier structure. Next, a nitride semiconductor layer is formed over the patterned epitaxy layer connected to the nitride semiconductor layer through the pier structure, wherein the nitride semiconductor layer, the pier structure, and the patterned epitaxy layer together form a space exposing a bottom surface of the nitride semiconductor layer. Thereafter, a weakening process is performed to remove a portion of the bottom surface of the nitride semiconductor layer and to weaken a connection point between the top surface of the pier structure and the nitride semiconductor layer. Finally, the substrate is separated from the nitride semiconductor layer through the connection point.
    Type: Grant
    Filed: June 11, 2008
    Date of Patent: February 11, 2014
    Assignee: Industrial Technology Research Institute
    Inventors: Yih-Der Guo, Chih-Ming Lai, Jenq-Dar Tsay, Po-Chun Liu
  • Patent number: 8643137
    Abstract: A short channel Lateral MOSFET (LMOS) and method are disclosed with interpenetrating drain-body protrusions (IDBP) for reducing channel-on resistance while maintaining high punch-through voltage. The LMOS includes lower device bulk layer; upper source and upper drain region both located atop lower device bulk layer; both upper source and upper drain region are in contact with an intervening upper body region atop lower device bulk layer; both upper drain and upper body region are shaped to form a drain-body interface; the drain-body interface has an IDBP structure with a surface drain protrusion lying atop a buried body protrusion while revealing a top body surface area of the upper body region; gate oxide-gate electrode bi-layer disposed atop the upper body region forming an LMOS with a short channel length defined by the horizontal length of the top body surface area delineated between the upper source region and the upper drain region.
    Type: Grant
    Filed: June 4, 2012
    Date of Patent: February 4, 2014
    Assignee: Alpha & Omega Semiconductor, Inc.
    Inventors: Shekar Mallikarjunaswamy, Amit Paul
  • Patent number: 8642483
    Abstract: A substrate processing method that processes a substrate including a processing target layer, an intermediate layer, and a mask layer as stacked in that order. The intermediate layer includes an Si-ARC (Si-containing Anti-Reflection Coating) film and the mask layer has an opening exposing a part of the Si-ARC. The substrate processing method includes a shrink etching step during which an opening width reduction process and an etching process are performed concurrently. In the opening width reduction process, deposits are formed on a sidewall surface of the opening of the mask layer by a plasma generated from a gaseous mixture of an anisotropic etching gas and one of a depositive gas and H2 gas. And in the etching process, the Si-ARC film forming a bottom portion of the opening are etched.
    Type: Grant
    Filed: February 19, 2010
    Date of Patent: February 4, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Masanobu Honda
  • Publication number: 20140030893
    Abstract: A method for etching with CD reduction, an etch layer disposed below a silicon containing mask layer under a patterned organic mask with features with a first CD. Features are opened in the silicon containing mask layer using the patterned organic mask, comprising providing an opening gas with an etchant component and polymerizing component, forming the opening gas into a plasma, and providing a pulsed bias with a pulse frequency between 10 Hz and 1 kHz, which etches features through the silicon containing mask layer with a second CD, which is less than half the first CD, forming a pattern in the silicon containing mask layer. The pattern of the silicon containing mask layer is transferred to the etch layer.
    Type: Application
    Filed: July 24, 2012
    Publication date: January 30, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Ming-Shu KUO, Siyi LI, Monica TITUS, Srikanth RAGHAVAN, Tae Won KIM, Gowri KAMARTHY
  • Publication number: 20140027878
    Abstract: A stack of a first hard mask portion and a second hard mask portion is formed over a semiconductor material layer by anisotropically etching a stack, from bottom to top, of a first hard mask layer and a second hard mask layer. The first hard mask portion is laterally recessed by an isotropic etch. A dielectric material layer is conformally deposited and planarized. The dielectric material layer is etched employing an anisotropic etch that is selective to the first hard mask portion to form a dielectric material portion that laterally surrounds the first hard mask portion. After removal of the second and first hard mask portions, the semiconductor material layer is etched employing the dielectric material portion as an etch mask. Optionally, portions of the semiconductor material layer underneath the first and second hard mask portions can be undercut at a periphery.
    Type: Application
    Filed: July 30, 2012
    Publication date: January 30, 2014
    Applicant: International Business Machines Corporation
    Inventors: Chiahsun Tseng, Chun-chen Yeh, Yunpeng Yin, Lei L. Zhuang
  • Patent number: 8637403
    Abstract: A method of manufacturing a semiconductor structure includes varying local chemical mechanical polishing (CMP) abrading rates of an insulator film by selectively varying a carbon content of the insulator film.
    Type: Grant
    Filed: December 12, 2011
    Date of Patent: January 28, 2014
    Assignee: International Business Machines Corporation
    Inventors: Yoba Amoah, Graham M. Bates, Joseph P. Hasselbach, Thomas L. McDevitt, Eva A. Shah
  • Patent number: 8637399
    Abstract: An etching composition for a copper-containing layer includes about 0.1% to about 30% by weight of ammonium persulfate, about 0.1% to about 10% by weight of a sulfate, about 0.01% to about 5% by weight of an acetate and about 55% to about 99.79% by weight of water. The etching composition having improved stability during storage and an increased capacity for etching.
    Type: Grant
    Filed: August 31, 2012
    Date of Patent: January 28, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Hong-Sick Park, Bong-Kyun Kim, Wang-Woo Lee, Ki-Beom Lee, Sam-Young Cho, Won-Guk Seo, Gyu-Po Kim
  • Publication number: 20140024186
    Abstract: Method of forming dual gate insulation layers and semiconductor device having dual gate insulation layers is disclosed. The method of forming dual gate insulation layers comprises forming a first thin layer of a thick gate insulation layer on a semiconductor substrate by oxidizing the semiconductor substrate, depositing a second thicker layer of the thick gate insulation layer on the first thin layer, removing a portion of the thick gate insulation layer to expose a surface area of the semiconductor substrate and forming a thin gate insulation layer on the exposed surface area of the semiconductor substrate. The method of forming dual gate insulation layers, when applied in fabricating semiconductor devices having dual gate insulation layers and trench isolation structures, may help to reduce a silicon stress near edges of the trench isolation structures and reduce/alleviate/prevent the formation of a leaky junction around the edges of the trench isolation structures.
    Type: Application
    Filed: July 20, 2012
    Publication date: January 23, 2014
    Applicant: Monolithic Power Systems, Inc.
    Inventors: Ji-Hyoung Yoo, Ze-Qiang Yao, Jeesung Jung, Haifeng Yang
  • Patent number: 8633113
    Abstract: A method for fabricating a bottom oxide layer in a trench (102) is disclosed. The method comprises forming the trench (102) in a semiconductor substrate (100), depositing an oxide layer to partially fill a field area (104) and the trench (102), wherein said oxide layer has oxide overhang portions (106) and removing the oxide overhang portions (106) of the deposited oxide layer. Thereafter, the method comprises forming a bottom anti-reflective coating (BARC) layer (108) to cover the oxide layer in the field area (104) and the trench (102), removing the BARC layer (110) from the field area (104), while retaining a predetermined thickness of the BARC layer (112) in the trench (102), removing the oxide layer from the field area (104) and removing the BARC layer and oxide layer in the trench (102) to obtain a predetermined thickness of the bottom oxide layer (114).
    Type: Grant
    Filed: May 22, 2012
    Date of Patent: January 21, 2014
    Assignee: Silterra Malaysia Sdn Bhd
    Inventors: Charlie Tay, Venkatesh Madhaven, Arjun K. Kantimahanti
  • Patent number: 8633114
    Abstract: Provided are methods for depositing a high-k dielectric film on a substrate. The methods comprise annealing a substrate after cleaning the surface to create dangling bonds and depositing the high-k dielectric film on the annealed surface.
    Type: Grant
    Filed: May 10, 2011
    Date of Patent: January 21, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Tatsuya E. Sato, Maitreyee Mahajani
  • Patent number: 8632687
    Abstract: The invention relates to a method for electron beam induced etching of a layer contaminated with gallium, with the method steps of providing at least one first halogenated compound as an etching gas at the position at which an electron beam impacts on the layer, and providing at least one second halogenated compound as a precursor gas for removing of the gallium from this position.
    Type: Grant
    Filed: August 11, 2009
    Date of Patent: January 21, 2014
    Assignee: Carl Zeiss SMS GmbH
    Inventors: Nicole Auth, Petra Spies, Rainer Becker, Thorsten Hofmann, Klaus Edinger
  • Publication number: 20140004701
    Abstract: Monocrystalline semiconductor substrates are textured with alkaline solutions to form pyramid structures on their surfaces to reduce incident light reflectance and improve light absorption of the wafers. The alkaline baths include compounds which inhibit the formation of flat areas between pyramid structures to improve the light adsorption.
    Type: Application
    Filed: June 27, 2012
    Publication date: January 2, 2014
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Robert K. Barr, Corey O'Connor, Peter W. Hinkley, George R. Allardyce
  • Patent number: 8617953
    Abstract: Semiconductor memory devices having vertical access devices are disclosed. In some embodiments, a method of forming the device includes providing a recess in a semiconductor substrate that includes a pair of opposed side walls and a floor extending between the opposed side walls. A dielectric layer may be deposited on the side walls and the floor of the recess. A conductive film may be formed on the dielectric layer and processed to selectively remove the film from the floor of the recess and to remove at least a portion of the conductive film from the opposed sidewalls.
    Type: Grant
    Filed: December 13, 2010
    Date of Patent: December 31, 2013
    Assignee: Micron Technology, Inc.
    Inventor: Werner Juengling
  • Publication number: 20130341632
    Abstract: A diode and a method of making same has a cathode an anode and one or more semiconductor layers disposed between the cathode and the anode. A dielectric layer is disposed between at least one of the one or more semiconductor layers and at least one of the cathode or anode, the dielectric layer having one or more openings or trenches formed therein through which the at least one of said cathode or anode projects into the at least one of the one or more semiconductor layers, wherein a ratio of a total surface area of the one or more openings or trenches formed in the dielectric layer at the at least one of the one or more semiconductor layers to a total surface area of the dielectric layer at the at least one of the one or more semiconductor layers is no greater than 0.25.
    Type: Application
    Filed: June 22, 2012
    Publication date: December 26, 2013
    Applicant: HRL LABORATORIES, LLC
    Inventor: Rongming Chu
  • Publication number: 20130341762
    Abstract: A semiconductor device has a first layer formed on a substrate. A mask layer is formed and patterned above the first layer. The first layer is etched partially through. A second layer is formed over the first layer. The first and second layers are etched by a non-lithography process.
    Type: Application
    Filed: June 20, 2012
    Publication date: December 26, 2013
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventor: YUAN-CHIEH CHIU
  • Publication number: 20130334650
    Abstract: A semiconductor structure is located in a recess of a substrate. The semiconductor structure includes a liner, a silicon rich layer and a filling material. The liner is located on the surface of the recess. The silicon rich layer is located on the liner. The filling material is located on the silicon rich layer and fills the recess. Furthermore, a semiconductor process forming said semiconductor structure is also provided.
    Type: Application
    Filed: June 13, 2012
    Publication date: December 19, 2013
    Inventors: Chih-Chien Liu, Chia-Lung Chang, Jei-Ming Chen, Jui-Min Lee, Yuh-Min Lin
  • Publication number: 20130336613
    Abstract: Described embodiments include photonic integrated circuits and systems with photonic devices, including thermal isolation regions for the photonic devices. Methods of fabricating such circuits and systems are also described.
    Type: Application
    Filed: June 15, 2012
    Publication date: December 19, 2013
    Inventors: Roy Meade, Gurtej Sandhu
  • Publication number: 20130337650
    Abstract: A method for fabricating a dual damascene structure includes the following steps. At first, a dielectric layer, a dielectric mask layer and a metal mask layer are sequentially formed on a substrate. A plurality of trench openings is formed in the metal mask layer, and a part of the metal mask layer is exposed in the bottom of each of the trench openings. Subsequently, a plurality of via openings are formed in the dielectric mask layer, and a part of the dielectric mask layer is exposed in a bottom of each of the via openings. Furthermore, the trench openings and the via openings are transferred to the dielectric layer to form a plurality of dual damascene openings.
    Type: Application
    Filed: June 19, 2012
    Publication date: December 19, 2013
    Inventors: Chang-Hsiao Lee, Hsin-Yu Chen, Yu-Tsung Lai, Jiunn-Hsiung Liao, Shih-Chun Tsai
  • Publication number: 20130334603
    Abstract: A method including etching a shallow trench laterally surrounding a portion of a semiconductor substrate, the semiconductor substrate comprising a semiconductor-on-insulator SOI layer, a pad oxide layer, and a pad nitride layer, depositing a first nitride liner, a dielectric liner, and a second nitride liner in the shallow trench, wherein the dielectric liner is located between the first and the second nitride liner, and filling the shallow trench with a shallow trench fill portion.
    Type: Application
    Filed: June 18, 2012
    Publication date: December 19, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kangguo CHENG, Bruce B. DORIS, Shom PONOTH, Stefan SCHMITZ, Raghavasimhan SREENIVASAN
  • Patent number: 8604584
    Abstract: Some embodiments of the present invention relate to a semiconductor device and a method of manufacturing a semiconductor device capable of preventing the deterioration of electrical characteristics. A p-type collector region is provided on a surface layer of a backside surface of an n-type drift region. A p+-type isolation layer for obtaining reverse blocking capability is provided at the end of an element. In addition, a concave portion is provided so as to extend from the backside surface of the n-type drift region to the p+-type isolation layer. A p-type region is provided and is electrically connected to the p+-type isolation layer. The p+-type isolation layer is provided so as to include a cleavage plane having the boundary between the bottom and the side wall of the concave portion as one side.
    Type: Grant
    Filed: March 1, 2011
    Date of Patent: December 10, 2013
    Assignee: Fuji Electric Co., Ltd.
    Inventors: Hiroki Wakimoto, Kenichi Iguchi, Koh Yoshikawa, Tsunehiro Nakajima, Shunsuke Tanaka, Masaaki Ogino
  • Patent number: 8604564
    Abstract: A semiconductor structure has embedded stressor material for enhanced transistor performance. The method of forming the semiconductor structure includes etching an undercut in a substrate material under one or more gate structures while protecting an implant with a liner material. The method further includes removing the liner material on a side of the implant and depositing stressor material in the undercut under the one or more gate structures.
    Type: Grant
    Filed: March 16, 2012
    Date of Patent: December 10, 2013
    Assignee: International Business Machine Corporation
    Inventors: Xi Li, Viorel C. Ontalus
  • Publication number: 20130323932
    Abstract: A method for etching a metal layer, comprising plurality of cycles is provided. In each cycle, an etch gas comprising PF3, CO and NO, or COF2 is flowed into a process chamber. In each cycle, the etch gas is formed into a plasma. In each cycle, the flow of the etch gas is stopped.
    Type: Application
    Filed: June 1, 2012
    Publication date: December 5, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventor: Joydeep GUHA
  • Publication number: 20130316488
    Abstract: A stressor layer used in a controlled spalling method is removed through the use of a cleave layer that can be fractured or dissolved. The cleave layer is formed between a host semiconductor substrate and the metal stressor layer. A controlled spalling process separates a relatively thin residual host substrate layer from the host substrate. Following attachment of a handle substrate to the residual substrate layer or other layers subsequently formed thereon, the cleave layer is dissolved or otherwise compromised to facilitate removal of the stressor layer. Such removal allows the fabrication of a bifacial solar cell.
    Type: Application
    Filed: May 26, 2012
    Publication date: November 28, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen W. Bedell, Keith E. Fogel, Bahman Hekmatshoartabari, Paul A. Lauro, Ning Li, Devendra K. Sadana, Ghavam G. Shahidi, Davood Shahrjerdi
  • Publication number: 20130316510
    Abstract: A method of forming a integrated circuit pattern. The method includes coating a photoresist layer on a substrate; performing a lithography exposure process to the photoresist layer; performing a multiple-step post-exposure-baking (PEB) process to the photoresist layer; and developing the photoresist layer to form a patterned photoresist layer.
    Type: Application
    Filed: May 23, 2012
    Publication date: November 28, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Chung-Ming Wang, Yu Lun Liu, Chia-Chu Liu, Kuei-Shun Chen
  • Patent number: 8592316
    Abstract: A nitride semiconductor substrate includes two principal surfaces including an upper surface that is a growth face and a lower surface on its opposite side. An FWHM in a surface layer region at depths of from 0 to 250 nm from the upper surface is narrower than an FWHM in an inner region at depths exceeding 5 ?m from the upper surface, where the FWHMs are obtained by X-ray rocking curve measurement using diffraction off a particular asymmetric plane inclined relative to the upper surface.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: November 26, 2013
    Assignee: Hitachi Cable, Ltd.
    Inventors: Yuichi Oshima, Takehiro Yoshida
  • Publication number: 20130309837
    Abstract: Embodiments of the present invention provide a method of preventing electrical shorting of adjacent semiconductor devices. The method includes forming a plurality of fins of a plurality of field-effect-transistors on a substrate; forming at least one barrier structure between a first and a second fin of the plurality of fins; and growing an epitaxial film from the plurality of fins, the epitaxial film extending horizontally from sidewalls of at least the first and second fins and reaching the barrier structure situating between the first and second fins.
    Type: Application
    Filed: May 15, 2012
    Publication date: November 21, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: JOSEPHINE CHANG, MICHAEL A. GUILLORN, BALASUBRAMANIAN PRANATHARTHIHARAN, JEFFREY WILLIAM SLEIGHT
  • Publication number: 20130299967
    Abstract: A MP die with a redistribution layer (“RDL”) capture pad having at least one void therein and having an RDL capture pad outer peripheral edge and an under bump metal (“UBM”) pad positioned above the RDL capture pad and having a UBM pad outer peripheral edge positioned laterally inwardly of the RDL capture pad outer peripheral edge and positioned laterally outwardly of all the voids in the RDL capture pad.
    Type: Application
    Filed: May 10, 2012
    Publication date: November 14, 2013
    Applicant: Texas Instruments Incorporated
    Inventors: Jeffrey David Daniels, Gary Paul Morrison
  • Patent number: 8580604
    Abstract: A method for preparing an OLED by an imprinting process is disclosed, which comprises the following steps: (A) providing a substrate, and a first electrode is formed thereon; (B) coating a mold with a first organic material ink; (C) pressing the mold coated with the first organic material ink on the substrate to transfer the first organic material ink onto the first electrode of the substrate, to obtain a first light-emitting array; (D) baking the substrate having the first light-emitting array formed thereon; and (E) forming a second electrode on the first light-emitting array.
    Type: Grant
    Filed: June 24, 2010
    Date of Patent: November 12, 2013
    Assignee: National Tsing Hua University
    Inventors: Jwo-Huei Jou, Sun-Zen Chen, Shiang-Hau Peng, Bo-Shian Wu
  • Publication number: 20130292791
    Abstract: In order to prevent formation of voids in STI film, after a second buried insulating layer is filled and planarized, a high density cap is formed embedded in the center region of the second buried insulating layer of the STI trench. The high density cap shields and protects the weaker center region of the second buried insulating layer of the STI trench from the subsequent processing steps and prevents formation of voids in the second buried insulating layer.
    Type: Application
    Filed: May 1, 2012
    Publication date: November 7, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chun-Li LIN, Yi-Fang LI, Chun-Sheng WU, Po-Hsiung LEU, Ding-I LIU
  • Patent number: 8569092
    Abstract: A method for fabricating a sensor is disclosed that in one embodiment bonds an etched semiconductor substrate wafer to an etched device wafer comprising a double silicon on insulator wafer to create a suspended structure, the flexure of which is sensed by an embedded piezoresistive sensor element. In one embodiment the sensor measures acceleration. In other embodiments the sensor measures pressure.
    Type: Grant
    Filed: December 28, 2009
    Date of Patent: October 29, 2013
    Assignee: General Electric Company
    Inventors: Naresh Venkata Mantravadi, Sisira Kankanam Gamage
  • Publication number: 20130277723
    Abstract: Some embodiments include methods of forming silicon dioxide in which silicon dioxide is formed across silicon utilizing a first treatment temperature of no greater than about 1000° C., and in which an interface between the silicon dioxide and the silicon is annealed utilizing a second treatment temperature which is at least about 1050° C. Some embodiments include methods of forming transistors in which a trench is formed to extend into monocrystalline silicon. Silicon dioxide is formed along multiple crystallographic planes along an interior of the trench utilizing a first treatment temperature of no greater than about 1000° C., and an interface between the silicon dioxide and the monocrystalline silicon is annealed utilizing a second treatment temperature which is at least about 1050° C. A transistor gate is formed within the trench, and a pair of source/drain regions is formed within the monocrystalline silicon adjacent the transistor gate. Some embodiments include DRAM cells.
    Type: Application
    Filed: April 19, 2012
    Publication date: October 24, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventors: Shivani Srivastava, Kunal Shrotri, Fawad Ahmed
  • Patent number: 8563381
    Abstract: A power semiconductor device with improved avalanche capability structures is disclosed. By forming at least an avalanche capability enhancement doped regions with opposite conductivity type to epitaxial layer underneath an ohmic contact doped region which surrounds at least bottom of trenched contact filled with metal plug between two adjacent gate trenches, avalanche current is enhanced with the disclosed structures.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: October 22, 2013
    Assignee: Force Mos Technology Co., Ltd.
    Inventor: Fu-Yuan Hsieh
  • Patent number: 8563440
    Abstract: A method for chemically treating a disc-shaped substrate having a bottom surface, a top surface and side surfaces by contacting a process medium that is fluid-chemically active with at least the bottom surface of the substrate. The substrate is moved relative to the process medium while forming a triple line between the substrate, the substrate medium and the atmosphere surrounding the substrate and medium. In order to chemically remove errors, particularly in the side surfaces, relative motion should be carried out while avoiding a contacting of the process medium with the top surface of the substrate, where the triple line is formed at a desired height of the side surface facing away from the process medium flow side in relation to the relative motion between the substrate and the process medium.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: October 22, 2013
    Assignee: Schott Solar AG
    Inventors: Andreas Teppe, Berthold Schum, Dieter Franke, Ingo Schwirtlich, Knut Vaas, Wilfried Schmidt
  • Patent number: 8563441
    Abstract: Methods for fabricating a semiconductor FIN structure with smooth sidewalls and rounded top corners and edges is disclosed. A method includes forming a plurality of semiconductor FIN structures. A sacrificial oxide layer is formed on the top surface and the sidewall surfaces of the plurality of semiconductor FIN structures for rounding the corners and edges between the top surfaces and the sidewall surfaces of the plurality of semiconductor FIN structures. The sacrificial oxide layer is removed with a high selectivity oxide etchant. The plurality of semiconductor FIN structures are annealed in a hydrogen environment. A tunnel oxide is formed over the plurality of semiconductor FIN structures.
    Type: Grant
    Filed: April 28, 2008
    Date of Patent: October 22, 2013
    Assignee: Spansion LLC
    Inventors: Yi Ma, Robert Bertram Ogle