To Change Their Surface-physical Characteristics Or Shape, E.g., Etching, Polishing, Cutting (epo) Patents (Class 257/E21.214)

  • Publication number: 20130270708
    Abstract: A method for forming a buried conductive line is described. A substrate having a trench therein and a contact area thereon is provided, wherein the trench has an end portion in the contact area and a conductive layer is filled in the trench. A mask layer is formed covering the conductive layer in the contact area. The conductive layer is etched back using the mask layer as a mask.
    Type: Application
    Filed: April 11, 2012
    Publication date: October 17, 2013
    Applicant: NANYA TECHNOLOGY CORPORATION
    Inventor: Vivek Gopalan
  • Patent number: 8558396
    Abstract: A semiconductor device is provided and includes a semiconductor die, and a plurality of bond pads having exposed surfaces arranged in an alternating interleaved pattern on the semiconductor die. Each of the surfaces of the bond pads have a first bond placement area that overlaps with a second bond placement area, with the first bond placement area having a major axis that is orthogonal to a major axis of the second bond placement area. A connecting bond is located at an intersection of the major axes of the first bond placement area and the second bond placement area on one or more of the bond pads.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: October 15, 2013
    Assignee: Intersil Americas Inc.
    Inventors: Nikhil Vishwanath Kelkar, Sagar Pushpala, Seshasayee sS. Ankireddi
  • Patent number: 8557718
    Abstract: A method of forming a surface passivation layer on a surface of a crystalline silicon substrate is disclosed. In one aspect, the method includes depositing an Al2O3 layer on the surface, the Al2O3 layer having a thickness not exceeding about 15 nm; performing an outgassing process at a temperature in the range between about 500° C. and 900° C., after the deposition of the Al2O3 layer on the surface; and after the outgassing process, depositing at least one additional dielectric layer such as a silicon nitride layer and/or a silicon oxide layer on the Al2O3 layer.
    Type: Grant
    Filed: June 5, 2012
    Date of Patent: October 15, 2013
    Assignees: IMEC, Katholieke Universiteit Leuven
    Inventor: Bart Vermang
  • Publication number: 20130267046
    Abstract: A method to process an Integrated Circuit device including processing a first layer of first transistors, then processing a first metal layer overlaying the first transistors and providing at least one connection to the first transistors, then processing a second metal layer overlaying the first metal layer, then processing a second layer of second transistors overlaying the second metal layer, wherein the second metal layer is connected to provide power to at least one of the second transistors.
    Type: Application
    Filed: April 9, 2012
    Publication date: October 10, 2013
    Inventors: Zvi OR-BACH, Deepak C. SEKAR, Brian CRONQUIST
  • Patent number: 8551886
    Abstract: A method for semiconductor processing is provided wherein a workpiece having an underlying body and a plurality of features extending therefrom, is provided. A first set of the plurality of features extend from the underlying body to a first plane, and a second set of the plurality features extend from the underlying body to a second plane. A protection layer overlies each of the plurality of features and an isolation layer overlies the underlying body and protection layer, wherein the isolation has a non-uniform first oxide density associated therewith. The isolation layer anisotropically etched based on a predetermined pattern, and then isotropically etched, wherein a second oxide density of the isolation layer is substantially uniform across the workpiece. The predetermined pattern is based, at least in part, on a desired oxide density, a location and extension of the plurality of features to the first and second planes.
    Type: Grant
    Filed: April 9, 2008
    Date of Patent: October 8, 2013
    Assignee: Texas Instruments Incorporated
    Inventors: Kyle P. Hunt, Leila Elvira Noriega, Billy Alan Wofford, Asadd M. Hosein, Binghua Hu, Xinfen Chen
  • Publication number: 20130256667
    Abstract: A method of forming a conductive pattern includes forming a trench on a substrate, and providing a conductive ink to the trench while an electric field is generated between the substrate and a nozzle which ejects the conductive ink.
    Type: Application
    Filed: August 20, 2012
    Publication date: October 3, 2013
    Applicant: SAMSUNG DISPLAY CO., LTD.
    Inventors: Dae-Young LEE, Yong-Su LEE, Gug-Rae JO, Hyung-Bin CHO
  • Patent number: 8546265
    Abstract: A method for manufacturing a silicon structure according to the present invention includes, in a so-called dry-etching process wherein gas-switching is employed, the steps of: etching a portion in the silicon region at a highest etching rate under a high-rate etching condition such that the portion does not reach the etch stop layer; subsequently etching under a transition etching condition in which an etching rate is decreased with time from the highest etching rate in the high-rate etching condition; and thereafter, etching the silicon region under a low-rate etching condition of a lowest etching rate in the transition etching condition.
    Type: Grant
    Filed: April 8, 2009
    Date of Patent: October 1, 2013
    Assignee: SPP Technologies Co., Ltd.
    Inventors: Yoshiyuki Nozawa, Takashi Yamamoto
  • Patent number: 8546232
    Abstract: A semiconductor device has memory cell portions and compensation capacitance portions on a single substrate. The memory cell portion and the compensation capacitance portion have mutually different planar surface areas. The memory cell portion and the compensation capacitance portion include capacitance plate electrodes of the same structure. The capacitance plate electrode has a laminated structure including a boron-doped silicon germanium film and a metal film.
    Type: Grant
    Filed: June 26, 2012
    Date of Patent: October 1, 2013
    Assignee: Elpida Memory, Inc.
    Inventor: Nobuyuki Sako
  • Patent number: 8546244
    Abstract: A method includes the steps of: (a) fixing a front surface of a wafer (semiconductor wafer) having the front surface, a plurality of chip regions formed on the front surface, a dicing region formed between the chip regions, and a rear surface opposite to the front surface to the supporting member; (b) in a state of having the wafer fixed to the supporting member, grinding the rear surface of the wafer to expose the rear surface; (c) in a state of having the wafer fixed to the supporting member, dividing the wafer into the chip regions; (d) etching side surfaces of the chip regions to remove crushed layers formed in the step (c) on the side surfaces and obtain a plurality of semiconductor chips. After the steps (e) and (d), the plurality of divided chip regions are peeled off from the supporting member to obtain a plurality of semiconductor chips.
    Type: Grant
    Filed: January 9, 2012
    Date of Patent: October 1, 2013
    Assignee: Renesas Electronics Corporation
    Inventors: Yoshiyuki Abe, Chuichi Miyazaki, Toshihide Uematsu, Haruo Shimamoto
  • Publication number: 20130252424
    Abstract: An apparatus, a system and a method are disclosed. An exemplary apparatus includes a wafer holder including a first portion and a second portion. The first and second portions are formed of the same continuous material. The first portion includes a first upper surface and a first lower surface, and the second portion including a second upper surface and a second lower surface. The apparatus further includes an interface between the first and second portions. The interface provides for a transition such that the first upper surface of the first portion tends toward the second upper surface of the second portion. The apparatus further includes a tapered region formed in the first portion. The tapered region starts at a radial distance from a center line of the wafer holder and terminates at the interface. The tapered region has an initial thickness that gradually decreases to a final thickness.
    Type: Application
    Filed: March 21, 2012
    Publication date: September 26, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yi-Hung Lin, Li-Ting Wang, Tze-Liang Lee
  • Publication number: 20130252429
    Abstract: A photo mask for exposing according to an embodiment includes a mark pattern arranged in a mark region that is different from an effective region to form a semiconductor device; and a regular pattern arranged in the mark region and around the mark pattern and smaller than the mark pattern in size and pitch.
    Type: Application
    Filed: August 8, 2012
    Publication date: September 26, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Yosuke OKAMOTO, Kazutaka ISHIGO, Taketo KURIYAMA
  • Patent number: 8541792
    Abstract: Certain example embodiments of this invention relate to methods of treating the surface of a soda lime silica glass substrate, e.g., a soda lime silica alkali ion glass substrate, and the resulting surface-treated glass articles. More particularly, certain example embodiments of this invention relate to methods of removing a top surface portion of a glass substrate using ion sources. During or after removal of this portion, the glass may then be coated with another layer, to be used as a capping layer. In certain example embodiments, the glass substrate coated with a capping layer may be used as a color filter and/or TFT substrate in an electronic device. In other example embodiments, the glass substrate with the capping layer thereon may be used in a variety of display devices.
    Type: Grant
    Filed: October 15, 2010
    Date of Patent: September 24, 2013
    Assignee: Guardian Industries Corp.
    Inventor: Scott V. Thomsen
  • Patent number: 8541306
    Abstract: A semiconductor device and a method of forming patterns on a semiconductor device are disclosed. The semiconductor device may include high-density patterns with a minimum size that may be less the resolution limit of a photolithography process, and may have a substrate including a memory cell region and an adjacent connection region, a plurality of first conductive lines extending from the memory cell region to the connection region in a first direction, a plurality of second conductive lines connected from respective first conductive lines to a plurality of pads having a width equal to twice the width of each of the first conductive lines. The method may include two levels of spacer formation to provide sub resolution line widths and spaces as well as selected multiples of the minimum line widths and spaces.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: September 24, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Song-yi Yang, Seung-pil Chung, Dong-hyun Kim, O-ik Kwon, Hong Cho
  • Patent number: 8536025
    Abstract: A resized wafer using a negative photoresist ring, methods of manufacture and design structures thereof are disclosed. The method includes forming a ring within a radius of a wafer. The method also includes patterning a photoresist formed on the wafer, by exposing the photoresist to energy. Additionally, the method includes forming troughs in a substrate of the wafer based on the patterning of the photoresist, wherein the ring blocks formation of the troughs underneath the ring. The method also includes filling the troughs with a metal and resizing the wafer at an area of the ring.
    Type: Grant
    Filed: December 12, 2011
    Date of Patent: September 17, 2013
    Assignee: International Business Machines Corporation
    Inventors: Dennis P. Hogan, Gregory S. Jankowski, Robert K. Leidy
  • Publication number: 20130237059
    Abstract: A method for performing a spacer etch process is described. The method includes conformally applying a spacer material over a gate structure on a substrate, and performing a spacer etch process sequence to partially remove the spacer material from the gate structure and the substrate, while retaining a sidewall spacer positioned along a sidewall of the gate structure. The spacer etch process sequence may include depositing a SiOCl-containing layer on an exposed surface of the spacer material to form a spacer protection layer.
    Type: Application
    Filed: March 7, 2012
    Publication date: September 12, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Alok RANJAN, Kaushik Arun KUMAR
  • Patent number: 8531007
    Abstract: A semiconductor device is disclosed which includes active section 100, edge termination section 110 having a voltage blocking structure and disposed around active section 100, and separation section 120 having a device separation structure and disposed around edge termination section 110. A surface device structure is formed on the first major surface of active section 100, trench 23 is formed in separation section 120 from the second major surface side, and p+-type separation region 24 is formed on the side wall of trench 23 such that p+-type separation region 24 is in contact with p-type channel stopper region 21 formed in the surface portion on the first major surface side and p-type collector layer 9 formed in the surface portion on the second major surface side. The semiconductor device and the method for manufacturing the semiconductor device according to the invention facilitate preventing the reverse blocking voltage from decreasing and shorten the manufacturing time of the semiconductor device.
    Type: Grant
    Filed: May 20, 2010
    Date of Patent: September 10, 2013
    Assignees: Octec, Inc., Fuji Electric Co., Ltd.
    Inventors: Katsuya Okumura, Hiroki Wakimoto, Kazuo Shimoyama, Tomoyuki Yamazaki
  • Patent number: 8530327
    Abstract: A shallow trench isolation (STI) structure and methods for forming the same provide an STI structure with a top surface formed completely of silicon nitride. The methods for forming the STI structures provide for at least one nitride deposition step followed by a further nitride deposition step to re-fill divots that occur along the upper portions of the trench sidewalls.
    Type: Grant
    Filed: August 31, 2011
    Date of Patent: September 10, 2013
    Assignee: Wafertech, LLC
    Inventors: Daniel Piper, Franklin Chiang, Ganesh Yerubandi
  • Patent number: 8530350
    Abstract: Methods for forming semiconductor structures are disclosed, including a method that involves forming sets of conductive material and insulating material, forming a first mask over the sets, forming a first number of contact regions, forming a second mask over a first region of the sets, and removing material from of the sets in a second, exposed region laterally adjacent the first region to form a second number of contact regions. Another method includes forming first and second contact regions on portions of sets of conductive materials and insulating materials, each of the second contact regions more proximal to an underlying substrate than each of the first contact regions. Apparatuses such as memory devices including laterally adjacent first and second regions each including contact regions of a different portion of a plurality of conductive materials and related methods of forming such devices are also disclosed.
    Type: Grant
    Filed: June 2, 2011
    Date of Patent: September 10, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Eric H. Freeman, Michael A. Smith
  • Patent number: 8528405
    Abstract: In one embodiment, an accelerometer includes a suspension frame, a proof mass, and a plurality of flexures suspending the proof mass from the suspension frame. The flexures allow the proof mass to deflect in response to an acceleration along a sensitive axis of the accelerometer. Each flexure exhibits an initial spring rate along the sensitive axis of substantially zero.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: September 10, 2013
    Assignee: The Charles Stark Draper Laboratory, Inc.
    Inventors: Lyle J. Jenkins, Jonathan J. Bernstein, Donald C. Fyler
  • Patent number: 8529315
    Abstract: A method of producing a semiconductor wafer includes a plurality of steps carried out in the following order. Simultaneous double-side material-removal processing is carried out on a semiconductor wafer sliced from a single crystal by processing the semiconductor wafer between two rotating ring-shaped working disks. Each working disk includes first abrasives having an average grain size in a range of 5.0 to 20.0 ?m. Both sides of the semiconductor wafer are treated with an alkaline medium. Grinding of the front and rear sides of the semiconductor wafer is carried out. For the grinding of each side a first side is held using a wafer holder and the other side is processed using a grinding tool. The grinding tool includes second abrasives having an average grain size that is smaller than the average grain size of the first abrasives and having an average grain size being in a range of 1.0 to 10.0 ?m.
    Type: Grant
    Filed: January 20, 2011
    Date of Patent: September 10, 2013
    Assignee: Siltronic AG
    Inventors: Juergen Schwandner, Michael Kerstan
  • Publication number: 20130230980
    Abstract: A method of patterning a semiconductor device including dividing a layout into more than one pattern. The method further includes depositing a film stack on a semiconductor substrate, depositing a hard mask on the film stack, and depositing a first photoresist on the hard mask. The method further includes patterning the first photoresist using a first pattern of the more than one pattern. The method further includes etching the hard mask to transfer a design of the first pattern of the more than one pattern to the hard mask. The method further includes depositing a second photoresist over the etched hard mask and patterning the second photoresist using a second pattern of the more than one pattern. The method further includes etching portions of the film stack exposed by a combination of the etched hard mask and the second photoresist.
    Type: Application
    Filed: March 1, 2012
    Publication date: September 5, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: George LIU, Kuei Shun CHEN, Meng Wei CHEN
  • Publication number: 20130228899
    Abstract: The description relates to a method of patterning a semiconductor device to create a through substrate via. The method produces a through substrate via having no photoresist material therein. An intermediate layer deposited over an interlayer dielectric prevents etching solutions from etching interlayer dielectric sidewalls to prevent peeling. The description relates to a semiconductor apparatus including a semiconductor substrate having a through substrate via therein. The semiconductor apparatus further includes an interlayer dielectric over the semiconductor substrate and an intermediate layer over semiconductor substrate and over sidewalls of the interlayer dielectric.
    Type: Application
    Filed: March 1, 2012
    Publication date: September 5, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yi-Fang LI, Chun-Li LIN, Chun-Sheng WU, Ding-I LIU
  • Patent number: 8524608
    Abstract: The present invention provides a method for fabricating a patterned structure in a semiconductor device, which includes the following processes. First, a target layer, a first mask and a first patterned mask are sequentially formed on a substrate. Then, a first etching process is performed to form a plurality of characteristic structures on the substrate, wherein each of the characteristic structures comprises a patterned first mask and a patterned target layer. A second patterned mask is formed on the substrate, wherein the second patterned mask covers a portion of the characteristic structures and exposes a predetermined region. A second etching process is performed to fully eliminate the characteristic structures within the predetermined region. Finally, a third etching process is performed to fully eliminate the target layer not covered by the patterned first mask.
    Type: Grant
    Filed: April 26, 2012
    Date of Patent: September 3, 2013
    Assignee: United Microelectronics Corp.
    Inventors: Lung-En Kuo, Jiunn-Hsiung Liao, Hsuan-Hsu Chen, Meng-Chun Lee
  • Patent number: 8525279
    Abstract: Embodiments of the invention provide for three-terminal pressure sensors (“3-TPS”), a method of measuring a pressure with a 3-TPS, and a method of manufacturing a 3-TPS. In some embodiments, the 3-TPS includes a semiconducting layer with cavity and a 3-TPS element having at least one piezoresistive layer overlapping at least a portion of the cavity and oriented at an angle selected to provide a desired sensitivity for the 3-TPS. The method of measuring a pressure with a 3-TPS is performed with a 3-TPS that includes an input terminal, first and second output terminals, and a 3-TPS element, the 3-TPS element overlapping at least a portion of a cavity at a predetermined angle. The method comprises providing an input signal to the input terminal of the 3-TPS, determining a difference between two output signals from the respective output terminals of the 3-TPS, and correlating the determined difference to a pressure.
    Type: Grant
    Filed: June 4, 2010
    Date of Patent: September 3, 2013
    Assignee: University of Louisville Research Foundation, Inc.
    Inventors: Usha R. Gowrishetty, Kevin M. Walsh
  • Publication number: 20130217228
    Abstract: According to one embodiment, a method for fabricating a semiconductor device includes performing a back surface processing to remove at least one of a scratch and a foreign material formed on a back surface of a substrate to be processed, a front surface of the substrate being retained in a non-contact state, contacting the back surface of the substrate to a stage to be retained, and providing a pattern on the front surface of the substrate by using lithography.
    Type: Application
    Filed: August 30, 2012
    Publication date: August 22, 2013
    Inventors: Masako KODERA, Hiroshi Tomita, Takeshi Nishioka
  • Publication number: 20130217205
    Abstract: Methods for fabricating semiconductor devices are provided. In an embodiment, a method for fabricating a semiconductor device includes forming a planarization stop layer overlying a semiconductor substrate. A trench is etched through the planarization stop layer and into the semiconductor substrate and is filled with an isolation material. The isolation material is planarized to establish a top surface of the isolation material coplanar with the planarization stop layer. In the method, a dry deglaze process is performed to remove a portion of the planarization stop layer and a portion of the isolation material to lower the top surface of the isolation material to a desired stepheight above the semiconductor substrate.
    Type: Application
    Filed: February 17, 2012
    Publication date: August 22, 2013
    Applicant: GLOBALFOUNDRIES Inc.
    Inventors: Frank Jakubowski, Jörg Radecker, Frank Ludwig
  • Publication number: 20130217233
    Abstract: Methods for forming uniformly spaced and uniformly shaped fine lines in semiconductor processes using double patterning. Dummy lines are formed over a substrate. Sidewall spacer material is deposited over the top and sides of each of the dummy lines. Etching is performed to remove the top surface sidewall spacer material from the tops of the dummy lines. The dummy material is removed by selective etching leaving the spacer material. A photolithographic mask is formed defining inner lines that are desired for a substrate etching step, and temporary lines outside of the desired lines. The temporary lines are partially masked. The temporary lines are partially removed while the inner desired lines are retained. A transfer etch process then patterns an underlying mask layer corresponding to the inner desired lines, and the mask layer is used for etching lines in an underlying semiconductor substrate.
    Type: Application
    Filed: February 22, 2012
    Publication date: August 22, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Wei Chang, Ryan Chia-Jen Chen
  • Publication number: 20130203257
    Abstract: A method for patterning a plurality of features in a non-rectangular pattern on an integrated circuit device includes providing a substrate including a surface with a first layer and a second layer, forming a plurality of elongated protrusions in a third layer above the first and second layers, and forming a first patterned layer over the plurality of elongated protrusions. The plurality of elongated protrusions are etched to form a first pattern of the elongated protrusions, the first pattern including at least one inside corner. The method also includes forming a second patterned layer over the first pattern of elongated protrusions and forming a third patterned layer over the first pattern of elongated protrusions. The plurality of elongated protrusions are etched using the second and third patterned layers to form a second pattern of the elongated protrusions, the second pattern including at least one inside corner.
    Type: Application
    Filed: February 7, 2012
    Publication date: August 8, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Ho Wei De, Ming-Feng Shieh, Ching-Yu Chang
  • Publication number: 20130203253
    Abstract: In a method of forming a pattern according to an embodiment, a first oblique linear pattern arranged at a first oblique angle with respect to a first parallel linear pattern and a second oblique linear pattern arranged at a second oblique angle with respect to the first parallel linear pattern are formed. Then, a pattern is formed in a region in which the first oblique linear pattern overlaps the second oblique linear pattern. A second parallel linear pattern is formed using the first parallel linear pattern and the pattern such that the second parallel linear pattern is divided by the overlap region. At least one of the first and second oblique angles is an angle other than a right angle.
    Type: Application
    Filed: August 29, 2012
    Publication date: August 8, 2013
    Inventors: Ai INOUE, Sayaka Tamaoki, Takashi Obara
  • Publication number: 20130203256
    Abstract: A method for etching features in a silicon layer disposed below a mask in a plasma processing chamber a plurality of cycles is provided. A deposition phase forming a deposition on the silicon layer in the plasma processing chamber is provided comprising providing a deposition gas into the plasma processing chamber wherein the deposition gas comprises a halogen containing etchant component and a fluorocarbon deposition component, forming the deposition gas into a plasma, which provides a net deposition on the silicon layer, and stopping the flow of the deposition gas. A silicon etch phase is provided, comprising providing a silicon etch gas into the plasma processing chamber that is different than the deposition gas, forming the silicon etch gas into a plasma to etch the silicon layer, and stopping the flow of the silicon etch gas.
    Type: Application
    Filed: February 8, 2012
    Publication date: August 8, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Qing Xu, William Thie, Camelia Rusu
  • Publication number: 20130203265
    Abstract: The present invention provides a temporary carrier bonding and detaching process. A first surface of a semiconductor wafer is mounted on a first carrier by a first adhesive, and a first isolation coating is disposed between the first adhesive and the first carrier. Then, a second carrier is mounted on the second surface of the semiconductor wafer. The first carrier is detached. The method of the present invention utilizes the second carrier to support and protect the semiconductor wafer, after which the first carrier is detached. Therefore, the semiconductor wafer will not be damaged or broken, thereby improving the yield rate of the semiconductor process. Furthermore, the simplicity of the detaching method for the first carrier allows for improvement in efficiency of the semiconductor process.
    Type: Application
    Filed: February 8, 2012
    Publication date: August 8, 2013
    Applicant: ADVANCED SEMICONDUCTOR ENGINEERING, INC.
    Inventor: Wei-Min Hsiao
  • Publication number: 20130203264
    Abstract: A gas filtration apparatus and method comprises a housing with an inlet for gas to enter and an outlet for the gas to exit. The housing contains a filter comprised of sintered metal fibers having an active filtration area through which the gas flows to remove suspended particles from the gas. The filter is substantially uniform in thickness and porosity through the active filtration area. The filter media being sealed to a metal structure in the housing with the metal structure having an opening to permit gas to flow through. A method of making a vapor/gas mixture includes the steps of producing a vapor in a gas to form the vapor/gas mixture passing the vapor/gas mixture through an opening in a housing containing a filter comprised of sintered metal fibers through which the vapor/gas mixture flows.
    Type: Application
    Filed: August 9, 2012
    Publication date: August 8, 2013
    Applicant: MSP Corporation
    Inventors: Benjamin Y.H. Liu, Yamin Ma, Thuc M. Dinh
  • Patent number: 8501028
    Abstract: A method for processing a semiconductor wafer includes bringing at least one grinding tool in contact with the semiconductor wafer; removing material from the semiconductor wafer using the grinding tool; disposing a liquid medium having a viscosity of at least 3×10?3 N/m2·s and at most 100×10?3 N/m2·s between the at least one grinding tool and the semiconductor wafer; and separating the at least one grinding tool and the semiconductor wafer so as to end the processing.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: August 6, 2013
    Assignee: Siltronic AG
    Inventor: Juergen Schwandner
  • Publication number: 20130196481
    Abstract: A method that includes forming a masking element on a semiconductor substrate and overlying a defined space. A first feature and a second feature are each formed on the semiconductor substrate. The space interposes the first and second features and extends from a first end of the first feature to a first end of the second feature. A third feature is then formed adjacent and substantially parallel the first and second features. The third feature extends at least from the first end of the first feature to the first end of the second feature.
    Type: Application
    Filed: February 1, 2012
    Publication date: August 1, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd. ("TSMC")
    Inventors: Chia Ying Lee, Chih-Yuan Ting, Jyu-Horng Shieh
  • Publication number: 20130193585
    Abstract: A method of fabricating a through silicon via (TSV) structure, in which, a patterned mask is formed on a substrate, the patterned mask has an opening, a spacer-shaped structure is formed on a sidewall of the opening, and a via hole having a relatively enlarged opening is formed by etching the spacer-shaped structure and the substrate through the opening after the spacer-shaped structure is formed. A TSV structure, in which, a via hole has an opening portion and a body portion, the opening portion is a relatively enlarged opening and has a tapered shape having an opening size of an upper portion greater than an opening size of a lower portion.
    Type: Application
    Filed: February 1, 2012
    Publication date: August 1, 2013
    Inventors: Chin-Fu Lin, Chun-Yuan Wu, Chih-Chien Liu, Teng-Chun Tsai, Chin-Cheng Chien
  • Patent number: 8497180
    Abstract: Devices are formed with boot shaped source/drain regions formed by isotropic etching followed by anisotropic etching. Embodiments include forming a gate on a substrate, forming a first spacer on each side of the gate, forming a source/drain region in the substrate on each side of the gate, wherein each source/drain region extends under a first spacer, but is separated therefrom by a portion of the substrate, and has a substantially horizontal bottom surface. Embodiments also include forming each source/drain region by forming a cavity to a first depth adjacent the first spacer and forming a second cavity to a second depth below the first cavity and extending laterally underneath the first spacers.
    Type: Grant
    Filed: August 5, 2011
    Date of Patent: July 30, 2013
    Assignee: GlobalFoundries Inc.
    Inventors: Peter Javorka, Stephan D. Kronholz, Matthias Kessler, Roman Boschke
  • Patent number: 8492217
    Abstract: Disclosed herein are various methods of forming conductive contacts with reduced dimensions and various semiconductor devices incorporating such conductive contacts. In one example, one method disclosed herein includes forming a layer of insulating material above a semiconducting substrate, wherein the layer of material has a first thickness, forming a plurality of contact openings in the layer of material having the first thickness and forming an organic material in at least a portion of each of the contact openings. This illustrative method further includes the steps of, after forming the organic material, performing an etching process to reduce the first thickness of the layer of insulating material to a second thickness that is less than the first thickness, after performing the etching process, removing the organic material from the contact openings and forming a conductive contact in each of the contact openings.
    Type: Grant
    Filed: September 20, 2011
    Date of Patent: July 23, 2013
    Assignee: GLOBALFOUNDRIES Inc.
    Inventors: Kai Frohberg, Dominik Olligs, Daniel Prochnow, Katrin Reiche
  • Patent number: 8492186
    Abstract: The present invention is a method for producing a group III nitride semiconductor layer in which a single crystal group III nitride semiconductor layer (103) is formed on a substrate (101), the method including: a substrate processing step of forming, on the (0001) C-plane of the substrate (101), a plurality of convex parts (12) of surfaces (12c) not parallel to the C-plane, to thereby form, on the substrate, an upper surface (10) that is composed of the convex parts (12) and a flat surface (11) of the C-plane; and an epitaxial step of epitaxially growing the group III nitride semiconductor layer (103) on the upper surface (10), to thereby embed the convex parts (12) in the group III nitride semiconductor layer (103).
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: July 23, 2013
    Assignee: Toyoda Gosei Co., Ltd.
    Inventors: Hironao Shinohara, Hiromitsu Sakai
  • Publication number: 20130181284
    Abstract: A method for producing a semiconductor component is described. The method includes providing a semiconductor body having a first surface and being comprised of a first semiconductor material extending to the first surface. At least one trench extends from the first surface into the semiconductor body and includes a gate electrode insulated from the semiconductor body and arranged below the first surface. The method further includes: forming a second insulation layer on the first surface with a recess that overlaps in projection onto the first surface with the conductive region; forming a mask region in the recess; etching the second insulation layer selectively to the mask region and the semiconductor body to expose the semiconductor body at the first surface; depositing a third insulation layer on the first surface; and etching the third insulation layer so that a semiconductor mesa of the semiconductor body arranged next to the a least one trench is exposed at the first surface.
    Type: Application
    Filed: January 16, 2012
    Publication date: July 18, 2013
    Applicant: INFINEON TECHNOLOGIES AUSTRIA AG
    Inventor: Martin Poelzl
  • Publication number: 20130181263
    Abstract: Disclosed herein are various methods of forming isolation structures on FinFETs and other semiconductor devices, and the resulting devices that have such isolation structures. In one example, the method includes forming a plurality of spaced-apart trenches in a semiconducting substrate, wherein the trenches define a fin for a FinFET device, forming a layer of insulating material in the trenches, wherein the layer of insulating material covers a lower portion of the fin but not an upper portion of the fin, forming a protective material on the upper portion of the fin, and performing a heating process in an oxidizing ambient to form a thermal oxide region on the covered lower portion of the fin.
    Type: Application
    Filed: January 16, 2012
    Publication date: July 18, 2013
    Applicant: GLOBALFOUNDRIES INC.
    Inventors: Xiuyu Cai, Ruilong Xie, Jin Cho, John Iacoponi
  • Patent number: 8486761
    Abstract: A multi-chip light emitting device (LED) uses a low-cost carrier structure that facilitates the use of substrates that are optimized to support the devices that require a substrate. Depending upon the type of LED elements used, some of the LED elements may be mounted on the carrier structure, rather than on the more expensive ceramic substrate. In like manner, other devices, such as sensors and control elements, may be mounted on the carrier structure as well. Because the carrier and substrate structures are formed independent of the encapsulation and other after-formation processes, these structures can be tested prior to encapsulation, thereby avoiding the cost of these processes being applied to inoperative structures.
    Type: Grant
    Filed: October 21, 2010
    Date of Patent: July 16, 2013
    Assignee: Koninklijke Philips Electronics N.V.
    Inventor: Serge J. Bierhuizen
  • Patent number: 8486287
    Abstract: Fabrication methods disclosed herein provide for a nanoscale structure or a pattern comprising a plurality of nanostructures of specific predetermined position, shape and composition, including nanostructure arrays having large area at high throughput necessary for industrial production. The resultant nanostracture patterns are useful for nanostructure arrays, specifically sensor and catalytic arrays.
    Type: Grant
    Filed: October 14, 2004
    Date of Patent: July 16, 2013
    Assignee: The Regents of the University of California
    Inventors: Ji Zhu, Jeff Grunes, Yang-Kyu Choi, Jeffrey Bokor, Gabor Somorjai
  • Publication number: 20130178043
    Abstract: A method includes providing a substrate having an N+ type layer; forming a P type region in the N+ type layer disposed within the N+ type layer; forming a first deep trench isolation structure extending through a silicon layer and into the N+ type layer to a depth that is greater than a depth of the P type layer; forming a dynamic RAM FET in the silicon layer, forming a first logic/static RAM FET in the silicon layer above the P type region, the P type region being functional as a P-type back gate of the first logic/static RAM FET; and forming a first contact through the silicon layer and an insulating layer to electrically connect to the N+ type layer and a second contact through the silicon layer and the insulating layer to electrically connect to the P type region.
    Type: Application
    Filed: September 19, 2012
    Publication date: July 11, 2013
    Applicant: International Business Machines Corporation
    Inventor: International Business Machines Corporation
  • Publication number: 20130178068
    Abstract: A method comprising providing at least one dielectric layer above a semiconductor substrate, the at least one dielectric layer having a top surface and a bottom surface; forming a photoresist layer on the top surface of the at least one dielectric layer; providing a single photomask having at least one first pattern corresponding to a conductive via and at least one second pattern corresponding to a conductive trace; patterning the photoresist layer using the single photomask, for forming a trench in the photoresist corresponding to the conductive trace and an opening in a bottom surface of the trench corresponding to the via with a single photo exposure step; and etching the dielectric through the photoresist layer to form the trench and via therein. This application also relates to photomasks for use in the methods of this application.
    Type: Application
    Filed: January 10, 2012
    Publication date: July 11, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chai Der YEN, Fu-Cheng CHANG, Cheng-Pang YEH, Hung-Yu CHIU, Hung-Che LIAO
  • Patent number: 8480454
    Abstract: The present invention relates to a method for manufacturing a glass substrate for an information recording medium having a high level of cleanness and superior smoothness. The manufacturing method includes a step for washing a disk-shaped glass plate with an acid washing liquid, a step for removing at least part of a surface layer, which is formed on the surface of the glass plate, by performing grinding with diamond abrasion grains, and a step for washing the surface with a neutral or alkaline washing liquid.
    Type: Grant
    Filed: March 17, 2011
    Date of Patent: July 9, 2013
    Assignee: Hoya Corporation
    Inventors: Yasuhiro Saito, Toshiaki Hashimoto, Yuriko Kudoh
  • Patent number: 8482088
    Abstract: A MEMS device comprises a membrane layer and a back-plate layer formed over the membrane layer. The membrane layer comprises an outer portion and an inner portion raised relative to the outer portion and a sidewall for connecting the inner portion and the outer portion. The sidewall is non-orthogonal to the outer portion.
    Type: Grant
    Filed: September 18, 2008
    Date of Patent: July 9, 2013
    Assignee: Wolfson Microelectronics plc
    Inventors: Richard Ian Laming, Colin Robert Jenkins, Anthony Bernard Traynor
  • Patent number: 8481352
    Abstract: The present invention provides a method of fabricating a light emitting diode chip having an active layer between an N type semiconductor layer and a P type semiconductor layer. The method comprises the steps of preparing a substrate; laminating the semiconductor layers on the substrate, the semiconductor layers having the active layer between the N type semiconductor layer and the P type semiconductor layer; and forming grooves on the semiconductor layers laminated on the substrate until the substrate is exposed, whereby inclined sidewalls are formed by the grooves in the semiconductor layers divided into a plurality of chips. According to embodiments of the present invention, a sidewall of a semiconductor layer formed on a substrate of a light emitting diode chip is inclined with respect to the substrate, whereby its directional angle is widened as compared with a light emitting diode chip without such inclination.
    Type: Grant
    Filed: April 19, 2011
    Date of Patent: July 9, 2013
    Assignee: Seoul Opto Device Co., Ltd.
    Inventors: Jun Hee Lee, Jong Kyu Kim, Yeo Jin Yoon
  • Publication number: 20130161818
    Abstract: A three-dimensional (3-D) nonvolatile memory device includes channel layers protruding perpendicular to a surface of a substrate, interlayer insulating layers and conductive layer patterns alternately formed to surround each of the channel layers, a slit formed between the channel layers, the slit penetrating the interlayer insulating layers and the conductive layer patterns, and an etch-stop layer formed on the surface of the substrate at the bottom of the slit.
    Type: Application
    Filed: August 29, 2012
    Publication date: June 27, 2013
    Inventor: Joo Hee HAN
  • Publication number: 20130161634
    Abstract: A method for fabricating an edge termination, which can be used in conjunction with GaN-based materials, includes providing a substrate of a first conductivity type. The substrate has a first surface and a second surface. The method also includes forming a first GaN epitaxial layer of the first conductivity type coupled to the first surface of the substrate and forming a second GaN epitaxial layer of a second conductivity type opposite to the first conductivity type. The second GaN epitaxial layer is coupled to the first GaN epitaxial layer. The substrate, the first GaN epitaxial layer and the second GaN epitaxial layer can be referred to as an epitaxial structure.
    Type: Application
    Filed: December 22, 2011
    Publication date: June 27, 2013
    Applicant: EPOWERSOFT, INC.
    Inventors: Donald R. Disney, Isik C. Kizilyalli, Linda Romano, Andrew Edwards, Hui Nie
  • Patent number: 8470195
    Abstract: A chemical mechanical polishing aqueous dispersion preparation set including: a first composition which includes colloidal silica having an average primary particle diameter of 15 to 40 nm and a basic compound and has a pH of 8.0 to 11.0; and a second composition which includes poly(meth)acrylic acid and an organic acid having two or more carbonyl groups other than the poly(meth)acrylic acid and has a pH of 1.0 to 5.0.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: June 25, 2013
    Assignee: JSR Corporation
    Inventors: Eiichirou Kunitani, Hirotaka Shida, Kazuhito Uchikura