Treatment Of Semiconductor Body Using Process Other Than Deposition Of Semiconductor Material On A Substrate, Diffusion Or Alloying Of Impurity Material, Or Radiation Treatment (epo) Patents (Class 257/E21.211)

  • Publication number: 20130221365
    Abstract: Forming an alignment mark on a semiconductor structure using an optical lithography to form a metal alignment mark on a substrate of the structure, using the formed metal alignment mark to form a first feature of a semiconductor device being formed on the substrate using optical lithography, and using the formed metal alignment mark to form a second, different feature for the semiconductor using electron beam lithography. In one embodiment, the first feature is an ohmic contact, the second feature is a Schottky contact, the metal alignment mark is a refractory metal or a refractory metal compound having an atomic weight greater than 60 such as TaN and the semiconductor device is a GaN semiconductor device. A semiconductor structure having a metal alignment mark on a zero layer of the structure, the metal alignment mark is a TaN and the semiconductor is GaN.
    Type: Application
    Filed: February 24, 2012
    Publication date: August 29, 2013
    Applicant: Raytheon Company
    Inventors: Paul J. Duval, Kamal Tabatabaie, William J. Davis
  • Publication number: 20130224963
    Abstract: According to one embodiment, a semiconductor manufacturing apparatus includes a substrate stage, a transfer unit, and a control unit. A substrate is settable on the substrate stage. The transfer unit is configured to transfer a pattern having an uneven configuration onto a major surface of the substrate by attachably and removably holding a template. The pattern is provided in the transfer surface. The control unit is configured to acquire information relating to a number of foreign objects on the major surface prior to the transferring of the pattern. The control unit adds the number for a plurality of the substrates including the pattern transferred by the transfer unit. The control unit causes the transfer unit not to implement the transferring of the pattern in the case where the sum has reached the upper limit.
    Type: Application
    Filed: August 30, 2012
    Publication date: August 29, 2013
    Inventors: Masayuki HATANO, Hiroshi TOKUE
  • Patent number: 8519459
    Abstract: A backside illumination type solid-state imaging device includes stacked semiconductor chips which are formed such that two or more semiconductor chip units are bonded to each other, at least a first semiconductor chip unit is formed with a pixel array and a first multi-layered wiring layer, and a second semiconductor chip unit is formed with a logic circuit and a second multi-layered wiring layer, a connection wire which connects the first semiconductor chip unit and the second semiconductor chip unit, and a first shield wire which shields adjacent connection wires in one direction therebetween.
    Type: Grant
    Filed: October 14, 2011
    Date of Patent: August 27, 2013
    Assignee: Sony Corporation
    Inventors: Machiko Horiike, Kazuchiro Itonaga
  • Publication number: 20130207191
    Abstract: A semiconductor structure and a method for manufacturing the same are provided. The semiconductor structure comprises a first doped region and a second doped region. The first doped region comprises a first contact region. The first doped region and the first contact region have a first type conductivity. The second doped region comprises a second contact region. The second doped region and the second contact region have a second type conductivity opposite to the first type conductivity. The first doped region is adjacent to the second doped region.
    Type: Application
    Filed: February 9, 2012
    Publication date: August 15, 2013
    Applicant: MACRONIX INTERNATIONAL CO., LTD.
    Inventors: Chien-Chih Chen, Li-Fan Chen, Cheng-Chi Lin, Shin-Chin Lien, Shyi-Yuan Wu
  • Patent number: 8507360
    Abstract: A method includes arranging a bonding layer of a predetermined thickness on at least one of a first functional region bonded on a release layer, which is capable of falling into a releasable condition when subjected to a process, on a first substrate, and a region, to which the first functional region is to be transferred, on a second substrate; bonding the first functional region to the second substrate through the bonding layer; and separating the first substrate from the first functional region at the release layer.
    Type: Grant
    Filed: November 3, 2009
    Date of Patent: August 13, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takao Yonehara, Yasuyoshi Takai
  • Publication number: 20130203259
    Abstract: A pressure control valve assembly of a plasma processing chamber in which semiconductor substrates are processed includes a housing having an inlet, an outlet and a conduit extending between the inlet and the outlet, the inlet adapted to be connected to an interior of the plasma processing chamber and the outlet adapted to be connected to a vacuum pump which maintains the plasma processing chamber at desired pressure set points during rapid alternating phases of processing a semiconductor substrate in the chamber. A drive mechanism attached to first and second valve plates effects rotation of the first and second valve plates to switch the valve plates between first and second angular orientations to change the degree of alignment of first and second open areas of the valve plates and thereby increase or decrease conductance to achieve desired pressure settings in the chamber.
    Type: Application
    Filed: February 7, 2012
    Publication date: August 8, 2013
    Applicant: Lam Research Corporation
    Inventor: Jaroslaw W Winniczek
  • Publication number: 20130196483
    Abstract: Boron nitride is used as a buried dielectric of an SOI structure including an SOI layer and a handle substrate. The boron nitride is located between an SOI layer and a handle substrate. Boron nitride has a dielectric constant and a thermal expansion coefficient close to silicon dioxide. Yet, boron nitride has a wet as well as a dry etch resistance that is much better than silicon dioxide. In the SOI structure, there is a reduced material loss of boron nitride during multiple wet and dry etches so that the topography and/or bridging are not an obstacle for device integration. Boron nitride has a low dielectric constant so that devices built in SOI active regions do not suffer from a charging effect.
    Type: Application
    Filed: September 5, 2012
    Publication date: August 1, 2013
    Applicant: International Business Machines Corporation
    Inventors: Robert H. Dennard, Alfred Grill, Effendi Leobandung, Deborah A. Neumayer, Dea-Gyu Park, Ghavam G. Shahidi, Leathen Shi
  • Publication number: 20130193445
    Abstract: Boron nitride is used as a buried dielectric of an SOI structure including an SOI layer and a handle substrate. The boron nitride is located between an SOI layer and a handle substrate. Boron nitride has a dielectric constant and a thermal expansion coefficient close to silicon dioxide. Yet, boron nitride has a wet as well as a dry etch resistance that is much better than silicon dioxide. In the SOI structure, there is a reduced material loss of boron nitride during multiple wet and dry etches so that the topography and/or bridging are not an obstacle for device integration. Boron nitride has a low dielectric constant so that devices built in SOI active regions do not suffer from a charging effect.
    Type: Application
    Filed: January 26, 2012
    Publication date: August 1, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Robert H. Dennard, Alfred Grill, Effendi Leobandung, Deborah A. Neumayer, Dea-Gyu Park, Ghavam G. Shahidi, Leathen Shi
  • Patent number: 8497150
    Abstract: This invention discloses a defect isolation method for thin-film solar cell having at least a defect therein. The thin-film solar cell comprises a substrate, a front electrode layer, an absorber layer and a back electrode layer stacked in such a sequence. The defect isolation method includes the steps of: detecting at least a defect formed in thin-film solar cell and acquiring the positions of the defects, and applying a laser light to scribe the outer circumference of the defects according to the positions of the defects so as to form at least an isolation groove having a closed-curve configuration.
    Type: Grant
    Filed: September 29, 2009
    Date of Patent: July 30, 2013
    Assignee: Nexpower Technology Corp.
    Inventors: Yung-Yuan Chang, Hui-Chu Lin
  • Patent number: 8492183
    Abstract: A method of forming a film pattern with micro-pattern and a method of manufacturing a thin film transistor liquid crystal display (TFT-LCD) array substrate are provided. The method of manufacturing the film pattern with micro-pattern comprises: depositing a thin film on a substrate; jetting or dropping etchant on the thin film with a predetermined etching pattern by an inkjet print device; etching the thin film by the etchant; and cleaning the thin film to form a film pattern on the substrate.
    Type: Grant
    Filed: August 13, 2010
    Date of Patent: July 23, 2013
    Assignee: Boe Technology Co., Ltd.
    Inventors: Chunping Long, Haoran Gao, Jigang Xu
  • Publication number: 20130183827
    Abstract: A method of patterning a substrate includes forming spaced first features over a substrate. Individual of the spaced first features include sidewall portions of different composition than material that is laterally between the sidewall portions. A mixture of immiscible materials is provided between the spaced first features. At least two of the immiscible materials are laterally separated along at least one elevation between adjacent spaced first features. The laterally separating forms a laterally intermediate region including one of the immiscible materials between two laterally outer regions including another of the immiscible materials along the one elevation. The laterally outer regions are removed and material of the spaced first features is removed between the sidewall portions to form spaced second features over the substrate. Other embodiments are disclosed.
    Type: Application
    Filed: January 13, 2012
    Publication date: July 18, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Dan Millward
  • Publication number: 20130175698
    Abstract: An integrated circuit construction includes a stack of two or more integrated circuit substrates. At least one of the substrates includes through substrate vias (TSVs) individually comprising opposing ends. A conductive bond pad is adjacent one of the ends on one side of the one substrate. A conductive solder mass is adjacent the other end projecting elevationally on the other side of the one substrate. Individual of the solder masses are bonded to a respective bond pad on an immediately adjacent substrate of the stack. Epoxy flux surrounds the individual solder masses. An epoxy material different in composition from the epoxy flux surrounds the epoxy flux on the individual solder masses. Methods of forming integrated circuit constructions are also disclosed.
    Type: Application
    Filed: January 6, 2012
    Publication date: July 11, 2013
    Inventors: Jaspreet S. Gandhi, Brandon P. Wirz, Yangyang Sun, Josh D. Woodland
  • Publication number: 20130176194
    Abstract: An organic light-emitting display apparatus includes a plurality of pixels, each defined by a scan line, a data line, and a power supply line, a plurality of control lines branching off of one wire in a first direction and simultaneously transferring control signals to the plurality of pixels; and a plurality of repair bridges placed between neighboring ones of the plurality of control lines, each of the plurality of repair bridges including a first bridge connected to one of the neighboring ones of the plurality of control lines and a second bridge connected to another one of the neighboring control lines.
    Type: Application
    Filed: August 20, 2012
    Publication date: July 11, 2013
    Inventors: Guang-Hai JIN, Jae-Beom CHOI, Kwan-Wook JUNG, June-Woo LEE, Seong-Jun KIM, Ga-Young KIM
  • Patent number: 8481406
    Abstract: Methods of forming bonded semiconductor structures include temporarily, directly bonding together semiconductor structures, thinning at least one of the semiconductor structures, and subsequently permanently bonding the thinned semiconductor structure to another semiconductor structure. The temporary, direct bond may be established without the use of an adhesive. Bonded semiconductor structures are fabricated in accordance with such methods.
    Type: Grant
    Filed: July 15, 2010
    Date of Patent: July 9, 2013
    Assignee: Soitec
    Inventors: Mariam Sadaka, Ionut Radu
  • Patent number: 8481842
    Abstract: The invention relates to a method for producing Peltier modules, each of which comprises several Peltier elements that are arranged between at least two substrates. The substrates are made of an electrically insulating material at least on the sides facing the Peltier elements while being provided with contact areas on said surfaces. The contact areas, to which the Peltier elements are connected by means of terminal sure during the production process, are formed by metallic areas.
    Type: Grant
    Filed: February 20, 2007
    Date of Patent: July 9, 2013
    Assignee: Curamik Electronics GmbH
    Inventor: Jürgen Schulz-Harder
  • Patent number: 8482018
    Abstract: Disclosed is a light emitting device. The light emitting device comprises a light emitting semiconductor layer comprising a first conductive semiconductor layer, an active layer and a second conductive semiconductor layer, a second electrode layer supporting the light emitting semiconductor layer while surrounding the light emitting semiconductor layer, and a first passivation layer between a side of the light emitting semiconductor layer and the second electrode layer.
    Type: Grant
    Filed: October 2, 2008
    Date of Patent: July 9, 2013
    Assignee: LG Innotek Co., Ltd.
    Inventors: Bong Cheol Kang, Duk Kyu Bae
  • Patent number: 8476100
    Abstract: A method of forming thin film solar cell includes the following steps. A substrate is provided, and a plurality of first electrodes are formed on the substrate. A printing process is performed to print a light-absorbing material on the substrate and the first electrodes to form a plurality of light-absorbing patterns. Each of the light-absorbing patterns corresponds to two adjacent first electrodes, partially covers the two adjacent first electrodes, and partially exposes the two adjacent first electrodes. A plurality of second electrodes are formed on the light-absorbing patterns.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: July 2, 2013
    Assignee: AU Optronics Corp.
    Inventors: Kuang-Ting Chou, Han-Tang Chou, Ming-Yuan Huang, Han-Tu Lin
  • Patent number: 8470688
    Abstract: A semiconductor device and a method for manufacturing a semiconductor device are provided. A semiconductor device comprises a first single-crystal semiconductor layer including a first channel formation region and a first impurity region over a substrate having an insulating surface, a first gate insulating layer over the first single-crystal semiconductor layer, a gate electrode over the first gate insulating layer, a first interlayer insulating layer over the first gate insulating layer, a second gate insulating layer over the gate electrode and the first interlayer insulating layer, and a second single-crystal semiconductor layer including a second channel formation region and a second impurity region over the second gate insulating layer. The first channel formation region, the gate electrode, and the second channel formation region are overlapped with each other.
    Type: Grant
    Filed: October 3, 2011
    Date of Patent: June 25, 2013
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Atsuo Isobe
  • Patent number: 8466044
    Abstract: Memory cells, and methods of forming such memory cells, are provided that include a carbon-based reversible resistivity switching material. In particular embodiments, methods in accordance with this invention form a memory cell by forming a carbon-based reversible resistance-switching material above a substrate, forming a carbon nitride layer above the carbon-based reversible resistance-switching material, and forming a barrier material above the carbon nitride layer using an atomic layer deposition process. Other aspects are also provided.
    Type: Grant
    Filed: August 5, 2009
    Date of Patent: June 18, 2013
    Assignee: SanDisk 3D LLC
    Inventor: Huiwen Xu
  • Patent number: 8466447
    Abstract: A crystal oriented metal back contact for solar cells is disclosed herein. In one embodiment, a photovoltaic device and methods for making the photovoltaic device are disclosed. The photovoltaic device includes a metal substrate with a crystalline orientation and a heteroepitaxial crystal silicon layer having the same crystal orientation of the metal substrate. A heteroepitaxial buffer layer having the crystal orientation of the metal substrate is positioned between the substrate and the crystal silicon layer to reduce diffusion of metal from the metal foil into the crystal silicon layer and provide chemical compatibility with the heteroepitaxial crystal silicon layer. Additionally, the buffer layer includes one or more electrically conductive pathways to electrically couple the crystal silicon layer and the metal substrate.
    Type: Grant
    Filed: August 6, 2009
    Date of Patent: June 18, 2013
    Assignee: Alliance for Sustainable Energy, LLC
    Inventors: Howard M. Branz, Charles Teplin, Pauls Stradins
  • Publication number: 20130149868
    Abstract: A chamber for combinatorially processing a substrate is provided. The chamber includes a first mask and a second mask that share a common central axis. The first mask and the second mask are independently rotatable around the common central axis. The first mask has a first plurality of radial apertures and the second mask has a second plurality of radial apertures. An axis of the first plurality of radial apertures is offset from an axis of the second plurality of radial apertures. A substrate support that is operable to support a substrate below the first and second masks is included. The substrate support shares the common central axis.
    Type: Application
    Filed: December 13, 2011
    Publication date: June 13, 2013
    Applicant: Intermolecular, Inc.
    Inventor: Peter Satitpunwaycha
  • Publication number: 20130149839
    Abstract: An apparatus for bonding at least two substrates to each other comprises a plurality of substrate bonding machines arranged adjacent to one another and an input transporter extending adjacent to the plurality of substrate bonding machines which is operative to deliver the substrates to each of the substrate bonding machines. The input transporter is supplied with substrates by an onloading station. An output transporter extending adjacent to the plurality of substrate bonding machines is operative to receive bonded substrates from each of the substrate bonding machines and deliver the bonded substrates to an offloading station for removal from the apparatus.
    Type: Application
    Filed: December 12, 2011
    Publication date: June 13, 2013
    Applicant: ASM TECHNOLOGY SINGAPORE PTE. LTD.
    Inventors: Man Chung NG, Wai Lik CHAN, Kwok Kei WONG
  • Patent number: 8461017
    Abstract: Methods of fabricating semiconductor structures include implanting atom species into a carrier die or wafer to form a weakened region within the carrier die or wafer, and bonding the carrier die or wafer to a semiconductor structure. The semiconductor structure may be processed while using the carrier die or wafer to handle the semiconductor structure. The semiconductor structure may be bonded to another semiconductor structure, and the carrier die or wafer may be divided along the weakened region therein. Bonded semiconductor structures are fabricated using such methods.
    Type: Grant
    Filed: July 19, 2010
    Date of Patent: June 11, 2013
    Assignee: Soitec
    Inventors: Mariam Sadaka, Ionut Radu
  • Publication number: 20130137261
    Abstract: A dielectric layer having features etched thereon and a low dielectric constant, and that is carried by a semiconductor substrate. The etched dielectric layer is modified so its surface energy is reduced by at least one of: (a) applying thermal energy to the layer to cause the layer temperature to be between 100 C and 400 C; (b) irradiating the layer with electromagnetic energy; and/or (c) irradiating the layer with free ions.
    Type: Application
    Filed: November 29, 2011
    Publication date: May 30, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Joung-Wei LIOU, Chung-Chi KO, Chia-Cheng CHOU, Keng-Chu LIN
  • Patent number: 8450186
    Abstract: Optical modulator utilizing wafer bonding technology. An embodiment of a method includes etching a silicon on insulator (SOI) wafer to produce a first part of a silicon waveguide structure on a first surface of the SOI wafer, and preparing a second wafer, the second wafer including a layer of crystalline silicon, the second wafer including a first surface of crystalline silicon. The method further includes bonding the first surface of the second wafer with a thin oxide to the first surface of the SOI wafer using a wafer bonding technique, wherein a second part of the silicon waveguide structure is etched in the layer of crystalline silicon.
    Type: Grant
    Filed: September 25, 2009
    Date of Patent: May 28, 2013
    Assignee: Intel Corporation
    Inventors: Haisheng Rong, Ansheng Liu
  • Publication number: 20130127021
    Abstract: Methods for adhering materials and methods for enhancing adhesion between materials are disclosed. In some embodiments, a polymer brush material is bonded to a base material, and a developable polymer resist material is applied over the grafted polymer brush material. The resist material is at least partially miscible in the grafted polymer brush material. As such, the resist material at least partially dissolves within the grafted polymer brush material to form an intertwined material of grafted polymer brush macromolecules and resist polymer macromolecules. Adhesion between the developable polymer resist and the base material may be thereby enhanced. Also disclosed are related semiconductor device structures.
    Type: Application
    Filed: November 17, 2011
    Publication date: May 23, 2013
    Applicant: MICRON TECHNOLOGY, INC.
    Inventor: Dan B. Millward
  • Patent number: 8445075
    Abstract: Methods of processing films on substrates are provided. In one aspect, the methods comprise treating a patterned low dielectric constant film after a photoresist is removed from the film by depositing a thin layer comprising silicon, carbon, and optionally oxygen and/or nitrogen on the film. The thin layer provides a carbon-rich, hydrophobic surface for the patterned low dielectric constant film. The thin layer also protects the low dielectric constant film from subsequent wet cleaning processes and penetration by precursors for layers that are subsequently deposited on the low dielectric constant film.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: May 21, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Huiwen Xu, Mei-Yee Shek, Li-Qun Xia, Amir Al-Bayati, Derek Witty, Hichem M'Saad
  • Publication number: 20130115776
    Abstract: A pressure control valve assembly of a plasma processing chamber in which semiconductor substrates are processed includes a housing having an inlet, an outlet and a conduit extending between the inlet and the outlet, the inlet adapted to be connected to an interior of the plasma processing chamber and the outlet adapted to be connected to a vacuum pump which maintains the plasma processing chamber at desired pressure set points during rapid alternating phases of processing a semiconductor substrate in the chamber. A fixed slotted valve plate having a first set of parallel slots therein is fixed in the conduit such that gasses withdrawn from the chamber into the conduit pass through the first set of parallel slots. A movable slotted valve plate having a second set of parallel slots therein is movable with respect to the fixed slotted valve plate so as to adjust pressure in the chamber.
    Type: Application
    Filed: November 7, 2011
    Publication date: May 9, 2013
    Applicant: Lam Research Corporation
    Inventors: Mirzafer Abatchev, Camelia Rusu, Brian McMillin
  • Publication number: 20130109192
    Abstract: A susceptor including a generally circular body having a face with a radially inward section and a radially outward section proximate a circumference of the body, the radially outward section having at least one ring extending upward for contacting a bottom surface of a substrate, and wherein the radially inward section lacks a ring extending upward from the face.
    Type: Application
    Filed: October 28, 2011
    Publication date: May 2, 2013
    Applicant: ASM America, Inc.
    Inventors: Mark Hawkins, Matthew G. Goodman, Shawn Thomas
  • Publication number: 20130109108
    Abstract: The present invention relates to a method for producing zinc oxide on gallium nitride and application thereof, and particularly relates to a method for producing zinc oxide on gallium nitride by hydrothermal method and a method for recycling substrates by the zinc oxide.
    Type: Application
    Filed: January 4, 2012
    Publication date: May 2, 2013
    Applicant: NATIONAL TAIWAN UNIVERSITY
    Inventors: CHING-FUH LIN, Chun-Wei KU
  • Patent number: 8426235
    Abstract: A capacitive electromechanical transducer includes a substrate, a cavity formed by a vibrating membrane held above the substrate with a certain distance between the vibrating membrane and the substrate by supporting portions arranged on the substrate, a first electrode whose surface is exposed to the cavity, and a second electrode whose surface facing the cavity is covered with an insulating film, wherein the first electrode is provided on a surface of the substrate or a lower surface of the vibrating membrane and the second electrode is provided on a surface of the vibrating membrane or a surface of the substrate so as to face the first electrode. In this transducer, fine particles composed of an oxide film of a substance constituting the first electrode are arranged on the surface of the first electrode, and the diameter of the fine particles is 2 to 200 nm.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: April 23, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Chienliu Chang
  • Patent number: 8421193
    Abstract: An integrated circuit device includes a bottom wafer, at least one stacking wafer positioned on the bottom wafer, and at least one conductive via penetrating through the stacking wafer and into the bottom wafer in a substantially linear manner, wherein the bottom wafer and the stacking wafer are bonded by an intervening adhesive layer, and no bump pad is positioned between the bottom wafer and the stacking wafer. A method for preparing an integrated circuit device includes the steps of forming a bottom wafer, forming at least one stacking wafer, bonding the at least one stacking wafer to the bottom wafer by an intervening adhesive layer, and forming at least one conductive via penetrating through the stacking wafer and into the bottom wafer in a substantially linear manner, wherein no bump pad is positioned between the bottom wafer and the stacking wafer.
    Type: Grant
    Filed: November 18, 2010
    Date of Patent: April 16, 2013
    Assignee: Nanya Technology Corporation
    Inventor: Tsai Yu Huang
  • Publication number: 20130088498
    Abstract: Systems, methods and apparatus are provided for electromechanical systems devices having a non-uniform gap under a mechanical layer. An electromechanical systems device includes a movable element supported at its edges over a substrate by at least two support structures. The movable element can be spaced from the substrate by a gap having two or more different heights in two or more corresponding distinct regions. The gap has a first height in a first region below the gap, such as an active area of the device, and a second height in a second region adjacent the support structure. In an interferometric modulator implementation, the second region can be encompasses within an anchor region with a black mask.
    Type: Application
    Filed: October 7, 2011
    Publication date: April 11, 2013
    Applicant: QUALCOMM MEMS Technologies, Inc.
    Inventors: Yi Tao, Kostadin Djordjev, Fan Zhong
  • Patent number: 8415180
    Abstract: Provided is a method for fabricating a wafer product including an active layer grown on a gallium oxide substrate and allowing an improvement in emission intensity. In step S105, a buffer layer 13 comprised of a Group III nitride such as GaN, AlGaN, or AlN is grown at 600 Celsius degrees on a primary surface 11a of a gallium oxide substrate 11. After the growth of the buffer layer 13, while supplying a gas G2, which contains hydrogen and nitrogen, into a growth reactor 10, the gallium oxide substrate 11 and the buffer layer 13 are exposed to an atmosphere in the growth reactor 11 at 1050 Celsius degrees. A Group III nitride semiconductor layer 15 is grown on the modified buffer layer. The modified buffer layer includes, for example, voids. The Group III nitride semiconductor layer 15 can be comprised of GaN and AlGaN. When the Group III nitride semiconductor layer 15 is formed of these materials, excellent crystal quality is obtained on the modified buffer layer 14.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: April 9, 2013
    Assignees: Sumitomo Electric Industries, Ltd., Koha Co., Ltd.
    Inventors: Shin Hashimoto, Katsushi Akita, Kensaku Motoki, Shinsuke Fujiwara, Hideaki Nakahata
  • Patent number: 8415230
    Abstract: Provided is a method for transferring, onto a second substrate, at least one of functional regions arranged and joined to a first separation layer that is disposed on a first substrate and that becomes separable by a treatment, in which regions on the second substrate where the functional regions are to be transferred have a second separation layer that becomes separable by a treatment. The method includes a step of joining the first substrate to the second substrate by bonding such that the functional regions contact the second separation layer; a step of separating the functional regions from the first substrate at the first separation layer; and a step of, before or after the step of separation, forming separation grooves penetrating through the second substrate and the second separation layer from a surface of the second substrate, the surface being opposite to a surface having the second separation layer thereon.
    Type: Grant
    Filed: March 1, 2010
    Date of Patent: April 9, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventors: Takao Yonehara, Yasuyoshi Takai
  • Publication number: 20130078776
    Abstract: The inventive concept provides methods of manufacturing three-dimensional semiconductor devices. In some embodiments, the methods include forming a stack structure including sacrificial layers and insulation layers, forming a trench penetrating the stack structure, forming a hydrophobic passivation element on the surfaces of the insulation layers that were exposed by the trench and selectively removing the sacrificial layers.
    Type: Application
    Filed: September 14, 2012
    Publication date: March 28, 2013
    Inventors: Young-Hoo Kim, San Won Bae, Kuntack Lee, Hyosan Lee
  • Publication number: 20130078744
    Abstract: A semiconductor wafer, on the surface of which a silicon dioxide base material and an amorphous silicon thin film are formed in this order, is carried into a chamber. An insulated gate bipolar transistor (IGBT) is connected with a power supply circuit to a flash lamp, and the IGBT makes an energization period to the flash lamp to be 0.01 millisecond or more and 1 millisecond or less, consequently making a flash light irradiation time to be 0.01 millisecond or more and 1 millisecond or less. Since a flash heat treatment is performed with a remarkably short flash light irradiation time, the excessive heating of the thin film of amorphous silicon is suppressed and harmful influence such as the exfoliation of the film is prevented.
    Type: Application
    Filed: September 11, 2012
    Publication date: March 28, 2013
    Inventors: Hiroki KIYAMA, Kazuhiko FUSE, Shinichi KATO
  • Patent number: 8404562
    Abstract: According to an embodiment, a composite wafer includes a carrier substrate having a graphite core and a monocrystalline semiconductor layer attached to the carrier substrate.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: March 26, 2013
    Assignee: Infineon Technologies AG
    Inventors: Rudolf Berger, Hermann Gruber, Wolfgang Lehnert, Guenther Ruhl, Raimund Foerg, Anton Mauder, Hans-Joachim Schulze
  • Publication number: 20130072035
    Abstract: A thermal plate for a substrate support assembly in a semiconductor plasma processing apparatus, comprises multiple independently controllable planar thermal zones arranged in a scalable multiplexing layout, and electronics to independently control and power the planar heater zones. Each planar thermal zone uses at least one Peltier device as a thermoelectric element. A substrate support assembly in which the thermal plate is incorporated includes an electrostatic clamping electrode layer and a temperature controlled base plate. Methods for manufacturing the thermal plate include bonding together ceramic or polymer sheets having planar thermal zones, positive, negative and common lines and vias.
    Type: Application
    Filed: September 21, 2011
    Publication date: March 21, 2013
    Applicant: Lam Research Corporation
    Inventors: Keith William Gaff, Keith Comendant, Anthony Ricci
  • Patent number: 8399991
    Abstract: A semiconductor wafer contains a plurality of semiconductor die. The semiconductor wafer is diced to separate the semiconductor die. The semiconductor die are transferred onto a carrier. A die extension region is formed around a periphery of the semiconductor die on the carrier. The carrier is removed. A plurality of through hole vias (THV) is formed in first and second offset rows in the die extension region. A conductive material is deposited in the THVs. A first RDL is formed between contact pads on the semiconductor die and the THVs. A second RDL is formed on a backside of the semiconductor die in electrical contact with the THVs. An under bump metallization is formed in electrical contact with the second RDL. Solder bumps are formed on the under bump metallization. The die extension region is singulated to separate the semiconductor die.
    Type: Grant
    Filed: August 18, 2010
    Date of Patent: March 19, 2013
    Assignee: STATS ChipPAC, Ltd.
    Inventors: Henry Descalzo Bathan, Zigmund Ramirez Camacho, Lionel Chien Hui Tay, Arnel Senosa Trasporto
  • Patent number: 8399282
    Abstract: A method for forming a pad in a wafer with a three-dimensional stacking structure is disclosed. The method includes bonding a device wafer that includes an Si substrate and a handling wafer, thinning a back side of the Si substrate, depositing an anti-reflective layer on the thinned back side of the Si substrate, depositing a back side dielectric layer on the anti-reflective layer, forming vias that pass through the anti-reflective layer and the back side dielectric layer and contact back sides of super contacts which are formed on the Si substrate, and forming a pad on the back side dielectric layer such that the pad is electrically connected to the vias.
    Type: Grant
    Filed: February 14, 2011
    Date of Patent: March 19, 2013
    Assignee: Siliconfile Technologies Inc.
    Inventors: Heui Gyun Ahn, Se Jung Oh, In Gyun Jeon, Jun Ho Won
  • Publication number: 20130065401
    Abstract: Methods for depositing metal-polymer composite materials atop a substrate are provided herein. In some embodiments, a method of depositing a metal-polymer composite material atop a substrate disposed in a hot wire chemical vapor deposition (HWCVD) chamber may include flowing a current through a plurality of filaments disposed in the HWCVD chamber, the filaments comprising a metal to be deposited atop a substrate; providing a process gas comprising an initiator and a monomer to the HWCVD chamber; and depositing a metal-polymer composite material on the substrate using species decomposed from the process gas and metal atoms ejected from the plurality of filaments.
    Type: Application
    Filed: September 10, 2012
    Publication date: March 14, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: SUKTI CHATTERJEE, AMIT CHATTERJEE
  • Patent number: 8394726
    Abstract: A method for manufacturing a semiconductor device includes the steps of: loading a substrate into a reaction chamber; supplying reactive gases into the reaction chamber and processing the substrate; and unloading the processed substrate from the reaction chamber, wherein the step of processing the substrate includes: a first film formation step of setting the substrate to a first temperature and forming a first silicon film including impurity atoms on the substrate and a second film formation step of setting the substrate to a second temperature, which is lower than the first temperature, and forming a second silicon film that includes no impurity atoms or has an impurity concentration lower than that of the first silicon film on at least the first silicon film.
    Type: Grant
    Filed: July 27, 2009
    Date of Patent: March 12, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Takahiro Maeda, Nobuo Owada
  • Patent number: 8389419
    Abstract: Combinatorial plasma enhanced deposition techniques are described, including designating multiple regions of a substrate, providing a precursor to at least a first region of the multiple regions, and providing a plasma to the first region to deposit a first material on the first region formed using the first precursor, wherein the first material is different from a second material formed on a second region of the substrate.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: March 5, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Sunil Shanker, Tony P. Chiang
  • Patent number: 8389408
    Abstract: Provided are methods of forming a semiconductor device. The methods include providing a first precursor and a substitute gas into a reaction chamber having a substrate therein, the first precursor having a first substituent and further providing a second precursor into the reaction chamber. Either the first precursor or the second precursor includes a metal element and the other includes a silicon element, at least one of the first substituents of the first precursor are substituted with the substitute gas, the first precursor substituted with the substitute gas is adsorbed onto the substrate, and the second precursor is reacted with the adsorbed first precursor.
    Type: Grant
    Filed: June 23, 2010
    Date of Patent: March 5, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Lim Park, Jinil Lee, Changsu Kim, Sugwoo Jung
  • Publication number: 20130052798
    Abstract: A spalling method is provided that includes depositing a stressor layer on surface of a base substrate, and contacting the stressor layer with a planar transfer. The planar transfer surface is then traversed along a plane that is parallel to and having a vertical offset from the upper surface of the base substrate. The planar transfer surface is traversed in a direction from a first edge of the base substrate to an opposing second edge of the base substrate to cleave the base substrate and transfer a spalled portion of the base substrate to the planar transfer surface. The vertical offset between the plane along which the planar transfer surface is traversed and the upper surface of the base substrate is a fixed distance. The fixed distance of the vertical offset provides a uniform spalling force. A spalling method is also provided that includes a transfer roller.
    Type: Application
    Filed: August 23, 2011
    Publication date: February 28, 2013
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Stephen W. Bedell, Keith E. Fogel, Paul A. Lauro, Xiao Hu Liu, Devendra K. Sadana
  • Publication number: 20130052793
    Abstract: Methods for aligning layers more accurately for FinFETs fabrication. An embodiment of the method, comprises: forming a plurality of dummy line features and a plurality of spacer elements according to a first pattern; removing portions of the plurality of spacer elements and portions of the plurality of dummy line features according to a second pattern; defining a reference area by removing some unwanted spacer elements according to a third pattern; aligning a front-end-of-line (FEOL) layer in X direction with the reference area defined by the third pattern; and aligning the FEOL layer in Y direction with the plurality of spacer elements defined by the first pattern. The reference area may be an active area or an alignment mask. The plurality of dummy line features and the plurality of spacer elements are formed on a substrate. The FEOL layer may be a poly layer or a shield layer.
    Type: Application
    Filed: August 25, 2011
    Publication date: February 28, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ming-Feng Shieh, Kuei-Liang Lu
  • Publication number: 20130051421
    Abstract: A semiconductor laser device formed on a semiconductor substrate, the device comprising: a passivation layer arranged on an upper surface of the device structure for resisting moisture ingress, wherein the passivation layer comprises an inner layer deposited on the upper surface of the device by atomic layer deposition and an outer layer deposited on the inner layer, and comprising a material that is inert in the presence of water.
    Type: Application
    Filed: August 23, 2012
    Publication date: February 28, 2013
    Inventors: Silke Traut, Stephanie Saintenoy
  • Publication number: 20130049173
    Abstract: A bonded wafer structure having a handle wafer, a device wafer, and an interface region with an abrupt transition between the conductivity profile of the device wafer and the handle wafer is used for making semiconductor devices. The improved doping profile of the bonded wafer structure is well suited for use in the manufacture of integrated circuits. The bonded wafer structure is especially suited for making radiation-hardened integrated circuits.
    Type: Application
    Filed: August 25, 2011
    Publication date: February 28, 2013
    Applicant: Aeroflex Colorado Springs Inc.
    Inventors: David B. Kerwin, Joseph Benedetto
  • Publication number: 20130052796
    Abstract: A semiconductor substrate and a copper sheet stacked with an insulating resin layer are bonded together at a temperature of 130° C. or below (first temperature) so that an element electrode provided on the semiconductor substrate connects to the copper sheet before a thinning process. Then the semiconductor substrate and the copper sheet, on which the insulating resin layer has been stacked, are press-bonded at a high temperature of 170° C. or above (second temperature) with the copper sheet thinned to thickness of a wiring layer. Then the wiring layer (rewiring) is formed by patterning the thinned copper sheet.
    Type: Application
    Filed: October 26, 2012
    Publication date: February 28, 2013
    Applicant: SANYO ELECTRIC CO., LTD
    Inventor: SANYO ELECTRIC CO., LTD